[English]

牧原 克典(まきはら かつのり)

名古屋大学大学院 工学研究科 准教授 (2014.4 - )

名古屋大学 未来社会創造機構 量子科学技術・量子生命科学研究部門 兼務 (2020.12 - )


〒464-8603 
名古屋市千種区不老町
名古屋大学 大学院工学研究科 電子工学専攻 情報デバイス講座 機能集積デバイス研究グループ
部屋: IB電子情報館 北棟1F 105号室
E-mail: makihara@***
お手数ですが***はnuee.nagoya-u.ac.jpに変換してください

生年

職歴

専門分野

研究内容

競争的資金

学術論文

  1. A. Ohta, T. Imagawa, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki,“Energy band diagram for SiO2/Si system as evaluated from UPS analysis under vacuum ultraviolet with variable incident photon energy”, Japanese Journal of Applied Physics 60, SA, SAAC02(6pages) (2020), doi.org/10.35848/1347-4065/abb75b
  2. T. Niibayashi, T. Takemoto, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki, “Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode”, ECS Transactions 98, 429-434 (2020).
  3. J. Wu, H. Zhang, H. Furuhata, K. Makihara, M. Ikeda, A. Ohta, S. Miyazaki, “Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots by Using a Magnetic AFM Probe”ECS Transactions 98, 493-498 (2020).
  4. H. Sugawa, A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, S. Miyazaki, “Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing”, ECS Transactions 98, 505-512 (2020).
  5. Takuya Maehara, Shuntaro Fujimori, Mitsuhisa Ikeda, Akio Ohta, Katsunori Makihara, and Seiichi Miyazak, “Characterization of photoluminescence from Si quantum dots with B δ-doped Ge core”, Materials Science in Semiconductor Processing 120, 105215 (2020); https://doi.org/10.1016/j.mssp.2020.105215
  6. Katsunori Makihara, Shuntaro Fujimori, Mitsuhisa Ikeda, Akio Ohta, and SeiichiMiyazaki, “Effect of B-doping on photoluminescence properties of Si quantum dots with Ge core”, Materials Science in Semiconductor Processing 120, 105250 (2020); https://doi.org/10.1016/j.mssp.2020.105250
  7. Akio Ohta, Mitsuhisa Ikeda, Katsunori Makihara, and Seiichi Miyazaki,“Complex dielectric function of Si oxide as evaluated from photoemission measurements”, Jpn. J. Appl. Phys. 59, SMMB04 (2020); https://doi.org/10.35848/1347-4065/ab8c99
  8. Masato Kobayashi, Akio Ohta, Masashi Kurosawa, Masaaki Araidai, Noriyuki Taoka, Tomohiro Simizu, Mitsuhisa Ikeda, Katsunori Makihara, and Seiichi Miyazaki,“Formation of ultrathin segregated-Ge crystal on Al/Ge(111) surface”, Jpn. J. Appl. Phys. 59, SGGK15 (2020);https://doi.org/10.35848/1347-4065/ab69de
  9. N. Takada, N. Taoka, T. Yamamoto, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, “Impact of Remote Plasma Oxidation of a GaN Surface on Photoluminescence Properties,” Japanese Journal of Applied Physics, vol. 58, No.SE, 2019, SEEC02 (June 2019); doi.org/10.7567/1347-4065/ab09c9
  10. N. Takada, N. Taoka, A. Ohta, T. Yamamoto, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, “Comparative Study of Photoluminescence Properties Obtained from SiO2/GaN and Al2O3/GaN Structures,” Japanese Journal of Applied Physics, vol. 58, No.SI, 2019, SIIB22 (July 2019); doi.org/10.7567/1347-4065/ab26ac
  11. Shuntaro Fujimori, Ryo Nagai, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Effect of H2-dilution in Si-cap formation on photoluminescence intensity of Si quantum dots with Ge core", Jpn. J. Appl. Phys. 58 SIIA01 (2019);https://doi.org/10.7567/1347-4065/ab0c7a
  12. Shuntaro Fujimori, Katsunori Makihara, Mitsuhisa Ikeda, Akio Ohta and Seiichi Miyazaki, "Impact of surface pre-treatment on Pt-nanodot formation induced by remote H2-plasma exposure", Jpn. J. Appl. Phys. 58 SIIA15 (2019);https://doi.org/10.7567/1347-4065/ab23f9
  13. Ryo Nagai, Kentaro Yamada, Shuntaro Fujimori, Mitsuhisa Ikeda, Katsunori Makihara, Akio Ohta, and Seiichi Miyazaki, "Characterization of electron charging and transport properties of Si-QDs with phosphorus doped Ge core", Semicond. Sci. Technol. 33 124021(2018);https://orcid.org/0000-0002-0357-1859
  14. Yuto Futamura, Yuta Nakashima, Akio Ohta, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Evaluation of the potential distribution in a multiple stacked Si quantum dots structure by hard X-ray photoelectron spectroscopy", Jpn. J. Appl. Phys. 58 SAAE01(2018); https://doi.org/10.7567/1347-4065/aaeb38
  15. Hai Zhang, Katsunori Makihara, Mitsuhisa Ikeda, Akio Ohta, and Seiichi Miyazaki, "High Density Formation and Magnetoelectronic Transport Properties of Fe3Si Nanodots", ECS Trans. 86(7), 131-138 (2018).
  16. Nguyen Xuan Truyen, Noriyuki Taoka, Akio Ohta, Katsunori Makihara, Hisashi Yamada, Tokio Takahashi, Mitsuhisa Ikeda, Mitsuaki Shimizu and Seiichi Miyazaki, "High thermal stability of abrupt SiO2/GaN interface with low interface state density", Jpn. J. Appl. Phys. 57 04FG11(2018).
  17. Nobuyuki Fujimura, Akio Ohta, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Direct evaluation of electrical dipole moment and oxygen density ratio at high-k dielectrics/SiO2 interface by X-ray photoelectron spectroscopy analysis", Jpn. J. Appl. Phys. 57 04FB07(2018).
  18. Yinghui Wen, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda and Seiichi Miyazaki, "Formation of Mn-germanide nanodots on ultrathin SiO2 induced by remote hydrogen plasma", Jpn. J. Appl. Phys. 57 01AF05(2018).
  19. Akio Ohta, Yusuke Kato, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Evaluation of resistive switching properties of Si-rich oxide embedded with Ti nanodots by applying constant voltage and current", Jpn. J. Appl. Phys. 57 06HD05(2018).
  20. Koichi Ito, Akio Ohta, Masashi Kurosawa, Masaaki Araidai, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Growth of two-dimensional Ge crystal by annealing of heteroepitaxial Ag/Ge(111) under N2 ambient", Jpn. J. Appl. Phys. 57 06HD08(2018).
  21. Nguyen Xuan Truyen, Noriyuki Taoka, Akio Ohta, Katsunori Makihara, Hisashi Yamada, Tokio Takahashi, Mitsuhisa Ikeda, Mitsuaki Shimizu and Seiichi Miyazaki, "Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He", Jpn. J. Appl. Phys. 57 06KA01(2018).
  22. Taishi Yamamoto, Noriyuki Taoka, Akio Ohta, Nguyen Xuan Truyen, Hisashi Yamada, Tokio Takahashi, Mitsuhisa Ikeda, Katsunori Makihara, Osamu Nakatsuka, Mitsuaki Shimizu and Seiichi Miyazaki, "Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma", Jpn. J. Appl. Phys. 57 06KA05(2018).
  23. Akio Ohta, Nguyen Xuan Truyen, Nobuyuki Fujimura, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface", Jpn. J. Appl. Phys. 57 06KA08(2018).
  24. Taishi Yamamoto, Noriyuki Taoka, Akio Ohta, Nguyen Xuan Truyen, Hisashi Yamada, Tokio Takahashi, Mitsuhisa Ikeda, Katsunori Makihara, Mitsuaki Shimizu and Seiichi Miyazaki, "Low-temperature formation of Ga-oxide/GaN interface with remote oxygen plasma and its interface properties", Jpn. J. Appl. Phys. 57 06JE01(2018).
  25. K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki, "Segregated SiGe ultrathin layer formation and surface planarization on epitaxial Ag(111) by annealing of Ag/SiGe(111) with different Ge/(Si+Ge) compositions", Japanese Journal of Applied Physics, vol. 57, 04FJ05 (2018).
  26. K. Makihara, M. Ikeda, N. Fujimura, K. Yamada, A. Ohta, and S. Miyazaki, "Electroluminescence of superatom-like Ge-core/Si-shell quantum dots by alternate field-effect-induced carrier injection", Applied Physics Express Vol. 11, 011305 (4pages) (2018).
  27. N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki, "Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements", Japanese Jounal of Applied Physics Vol. 57, No. 1S, 01AD02 (2018).
  28. S. Miyazaki, K. Yamada, K. Makihara and M. Ikeda, "Processing and Characterization of High Density Si/Ge Quantum Dots for Electroluminescent Devices", ECS Transactions, 80 (4) 167-172 (2017)
  29. S. Miyazaki, A. Ohta, and N. Fujimura, "Characterization of Interfacial Dipoles at Dielectric Stacks by XPS Analysis", ECS Transactions, 80 (1) 229-235 (2017)
  30. K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki, "Magnetoelectronic transport of double stack FePt nanodots", Appl. Phys. Lett., 111, 052403 (4pages) (2017).
  31. N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, S. Miyazaki, "Photoemission Study on Electrical Dipole at SiO2/Si and HfO2/SiO2 Interfaces", Jpn. J. Appl. Phys., Vol.56, No.4S, 04CB04(6pages) (2017).
  32. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki, "Impact of Phosphorus Doping to Multiple-Stacked Si Quantum Dots on Electron Emission Properties", Materials Science in Semiconductor Processing, in press.
  33. A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Evaluation of Energy Distribution of Filled Defects of Si Oxide Thin Films from Total Photoelectron Yield Spectroscopy", Microelectronic Engineering, vol. 178, no. 25, 2017, pp. 85-88 (May, 2017).doi.org/10.1016/j.mee.2017.05.001
  34. A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, and S. Miyazaki, "Potential Changes and Chemical Bonding Features for Si-MOS Diode as Evaluated from HAXPES Analysis", Microelectronic Engineering, vol. 178, no. 25, 2017, pp. 80-84 (May, 2017).doi.org/10.1016/j.mee.2017.05.002
  35. Y. Lu, K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S. Miyazaki, "Low Temperature Formation of Crystalline Si:H/Ge:H Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation", Japanese Journal of Applied Physics, vol. 56, no. 6S1, 2017, 06GG07 (4page) (May, 2017) doi.org/10.7567/JJAP.56.06GG07
  36. Y. Kato, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors", IEICE TRANSACTIONS on Electronics, vol. E100-C, no .5, 2017, pp.468-474 (May. 2017) doi: 10.1587/transele.E100.C.468
  37. N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda and S. Miyazaki, "Effects of remote hydrogen plasma on chemical bonding features and electronic states of 4H-SiC(0001) surface", Jpn. J. of Appl. Phys., 56, 01AF01/5pages (2016).
  38. N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki, "Evaluation of Valence Band Top and Electron Affinity of SiO2 and Si-based Semiconductors Using XPS", Jpn. J. of Appl. Phys., 55, 08PC06/5pages (2016).
  39. T. Arai, A. Ohta, K. Makihara, and S. Miyazaki, "Impact of Embedded Mn Nanodots on Resistive Switching Characteristics of Si-rich Oxides as Measured in Ni-Electrodes MIM Diodes", Jpn. J. of Appl. Phys., 55, 06GH07/5pages (2016).
  40. S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda, Processing and Characterization of Si/Ge Quantum Dots, Technical Digest of Int. Electron Devices Meeting 2016, 826-830 (2016).
  41. Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "High-density formation of Ta nanodot induced by remote hydrogen plasma", Jpn, J. Appl. Phys., Vol. 56, 2017, 01AE01.
  42. K. Yamada, K. Kondo, K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki, "Effect of Ge Core Size on Photoluminescence from Si Quantum Dots with Ge Core", ECS Trans., Vil. 75, No. 8, 2016, pp. 695-700.
  43. T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara and S. Miyazaki, "Evaluation of Dielectric Function of Thermally-grown SiO2 and GeO2 from Energy Loss Signals for XPS Core-line Photoelectrons", ECS Trans., Vo. 75, No. 8, 2016, pp. 777-783.
  44. K. Makihara, T. Kato, Y. Kabeya, Y. Mitsuyuki, A. Ohta, D. Oshima, S. Iwata, Y. Darma, M. Ikeda and S. Miyazaki, "Nano spin-diodes using FePt-NDs with huge on/off current ratio at room temperature", Scientific Reports, Vol. 6, 2016, pp. 33409 (7 pages).
  45. T. Yamada, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Study on electroluminescence from multiply-stacking valency controlled Si quantum dots", Thin Solid Films, Vol. 602, 2016, pp. 48-51.
  46. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Evaluation of field emission properties from multiple-stacked Si quantum dots", Thin Solid Films, Vol. 602, 2016, pp. 68-71.
  47. H. Zhang, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Formation and characterization of high-density FeSi nanodots on SiO2 induced by remote H2 plasma", Jpn, J. Appl. Phys., Vol. 55, 2016, 01AE20.
  48. H. Watanabe, A. Ohta, K. Makihara and S. Miyazaki, "Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure", ECS Trans., Vol. 69, No. 10, 2015, pp. 179-186.
  49. Y. Kato, T. Arai, A. Ohta, K. Makihara and S. Miyazaki, "Resistive Switching Characteristics of Si-Rich Oxides with Embedding Ti Nanodots", ECS Trans., Vol. 69, No. 10, 20115, pp. 291-298.
  50. S. Kajita, A. Ohta, T. Ishida, K. Makihara, T. Yoshida and N. Ohno, , "Increase in the work function of W/WO3 by helium plasma irradiation", Jpn, J. Appl. Phys., Vol. 54, 2015,126201.
  51. A. Ohta, H. Murakami, K. Makihara and S. Miyazaki, "Progress in determination method for ultrathin Si-based oxide bandgaps from analysis of energy loss signals for photoelectrons", Jpn, J. Appl. Phys., Vol. 54, 2015,06FH08.
  52. A. Ohta, K. Makihara and S. Miyazaki, "Electronic defect states in thermally-grown SiO2/4H-SiC structure measured by total photoelectron yield spectroscopy", Microelectronic Engineering, Vol. 147, No. 1, 2015, pp. 264-268. IEICE Trans. on Electronics, Vol. E97-C, No. 5, 2014, pp. 393-396.
  53. R. Fukuoka, K. Makihara, H. Zhang, A. Ohta, T. Kato, S. Iwata, M. Ikeda and S. Miyazaki,"High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of their Magnetic Properties", Trans. Mat. Res. Sco. Japan, Vol. 40, No. 4, 2015, pp. 347-350.
  54. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Characterization of Electron Emission from High Density Self-Aligned Si-Based Quantum Dots by Conducting-Probe Atomic Force Microscopy", ECS Trans., Vol. 64, No. 6, 2014, pp. 923-928.
  55. K. Makihara, K. Kondo, M. Ikeda, A. Ohta and S. Miyazaki, "Photoluminescence Study of Si Quantum Dots with Ge Core", ECS Trans., Vol. 64, No. 6, 2014, pp. 365-370.
  56. A. Ohta, H. Murakami, K. Hashimoto, K. Makihara and S. Miyazaki, "Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack", ECS Trans., Vol. 64, No. 6, 2014, pp. 241-248.
  57. K. Makihara, M. Ikeda, T. Okada, and S. Miyazaki, "Application of remote hydrogen plasma to selective processing for Ge-based devices: Crystallization, etching, and metallization", Jpn. J. Appl. Phys., in press.
  58. S. Otsuka, T. Shimizu, S. Shingubara, K. Makihara, S. Miyazaki, A. Yamasaki, Y. Tanimoto and K. Takase, "Effect of electric field concentration using nanopeak structures on the current-voltage characteristics of resistive switching memory", AIP Advances, Vol. 4, 2014, 087110 (7 pages).
  59. K. Makihara,M. Ikeda and S. Miyazaki, "Selective Growth of Self-Assembling Si and SiGe Quantum Dots", IEICE Trans. on Electronics, Vol. E97-C, No. 5, 2014, pp. 393-396.
  60. D. Takeuchi,K. Makihara,M. Ikeda,S. Miyazaki,H. Kaki and T. Hayashi,"High-Sensitive Detection of Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy", IEICE Trans. on Electronics, Vol. E97-C, No. 5, 2014, pp. 397-400.
  61. A. Ohta, M. Fukusima, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki, "Characterization of Resistive Switching Behaviors of RF Sputtered Si Oxide ReRAMs with Ti-based Electrodes", Jpn. J. Appl. Phys., Vol. 52, 2013, 11NJ06 (5 pages).
  62. K. Makihara, J. Gao, K. Sakaike, S. Hayashi, H. Deki, M. Ikeda, S. Higashi and S. Miyazak, "Highly-crystallized Ge:H Film Growth from GeH4 VHF-ICP -Crystalline Nucleation Initiated by Ni-nanodots-", Jpn. J. Appl. Phys., Vol. 52, 2013, 11NA04 (3 pages).
  63. S. Miyazaki, M. Ikeda and K. Makihara, "Study on Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application", ECS Trans., Vol. 58, No. 9, 2013, pp. 231-237.
  64. A. Ohta, K. Makihara, M. Fukusima, H. Murakami, S. Higashi and S. Miyazaki, "Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-electrode MIM Diodes", ECS Trans., Vol. 58, No. 9, 2013, pp. 293-300.
  65. S. Miyazaki, M. Ikeda and K. Makihara, "Study on Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application", ECS Trans., Vol. 58, No. 9, 2013, pp. 231-237.
  66. N. Tsunekawa K. Makihara, M. Ikeda and S. Miyazaki, "Temporal Changes of Charge Distribution in High Density Self-aligned Si-based Quantum Dots as Evaluated by AFM/KFM", Trans. of MRS-J., Vol. 38, No. 3, 2013, pp. 393-396.
  67. H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara and S. Miyazaki, "High Density Formation of Iron Nanodots on SiO2 Induced by Remote Hydrogen Plasma", Advanced Materials Research Vols. 750-752, 2013, pp. 1011-1015.
  68. M. Ikeda, K. Makihara and S. Miyazaki, "Photoexcited Carrier Transfer in a NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in MOS Structures", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 694-698.
  69. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "Characterization of Local Electronic Transport through Ultrathin Au/Highly-dense Si Nanocolumar Structures by Conducting-Probe Atomic Force Microscopy", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 718-721.
  70. M. Fukushima, A. Ohta, K. Makihara and S. Miyazaki, "Characterization of Resistive Switching of Pt/Si- rich Oxide/TiN System", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 708-713.
  71. A. Ohta, K. Makihara, M. Ikeda, H. Murakamis, S. Higashi and S. Miyazaki, "Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior", IEICE Trans. on Electronics", Vol. E96-C, No. 5, 2013, pp. 702-707.
  72. A. Ohta. K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota, "X-ray Photoemission Study of SiO2/Si/Si0.55Ge0.45/Si Heterostructures", Vol. E96-C, No. 5, 2013, pp. 680-685.
  73. H. Takami, K. Makihara, M. Ikeda and S. Miyazaki, "Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots", Jpn. J. Appl. Phys., Vol. 52, No. 4, 2013, 04CG08 (4pages).
  74. K. Makihara, M. Ikeda and S. Miyazaki, Study of Electron Transport Characteristics Through Self-Aligned Si-Based Quantum Dots, J. Appl. Phys., Vol. 112, 2012, 104301 (5pages)
  75. K. Makihara, M. Fukushima, A. Ohta, M. Ikeda and S. Miyazaki, "Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes", ECS Trans., Vol. 50, No. 9, 2012, pp. 459-464.
  76. K. Makihara, H. Deki, M Ikeda and S, Miyazaki, "Evaluation of Charge Trapping Properties of Microcrystalline Germanium Thin Films by Kelvin Force Microscopy", J. Non-Cry. Solids, Vol. 358, Issue 17, 2012, pp. 2086-2089.
  77. K. Makihara, H. Deki, M Ikeda and S, Miyazaki, "Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots with High Areal Dot Density", Jpn. J. Appl. Phys., Vol. 51, No. 4, 2012, 04DG08 (5 pages).
  78. K. Makihara, K. Matsumoto, M. Yamane, T. Okada, N. Morisawa, M. Ikeda, S. Higashi and S. Miyazaki, "Formation of High Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Thermal Plasma Jet for Floating Gate Memory", Jpn. J. Appl. Phys., Vol. 50, No. 8, 2011, 08KE06 (4pages)
  79. S. K. Sahari, H. Murakami, T. Fujioka, T. Bando, A. Ohta, K. Makihara, S. Higashi and S. Miyazaki, "Study on Native Oxidation of Ge (111) and (100) Surfaces", Jpn. J. Appl. Phys., Vol. 50, No. 4, 2011, 04DA12 (4 pages)
  80. M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi and T. Endoh, "Collective Tunneling Model in Charge Trap Type NVM Cell", Jpn. J. Appl. Phys., Vol. 50, No. 4, 2011, 04DD04 (4 pages).
  81. G. Wei, Y. Goto, A. Ohta, K. Makihara, H. Murakami, S. Higashi and S. Miyazaki, "The Impact of H2 Anneal on Resistive Switching in Pt/TiO2/Pt Structure", IEICE, Vol. 94-C, No. 5, 2011, pp. 699-704.
  82. A. Ohta, D. Kanme, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Mg Diffusion into HfO2/SiO2/Si(100) Stacked Structures and Its Impact on Detect State Densities", IEICE, V Vol. 94-C, No. 5, 2011, pp. 717-723.
  83. M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta and T. Endoh, "Study on Collective Electron Motion in Si-Nano Dot Floating Gate MOS Capacitor", IEICE, V Vol. 94-C, No. 5, 2011, pp. 730-736.
  84. K. Makihara, M. Ikeda, A. Ohta, S. Takeuchi, Y. Shimura, S. Zaima and S. Miyazaki, "High Density Formation of Ge Quantum Dots on SiO2", Solid State Electronics, Vol. 60, 2011, pp. 65-69.
  85. N. Morisawa, M. Ikeda, K. Makihara and S. Miyazaki, "Optical Response of Si-Quantum-Dots/NiSi-Nanodots Stack Hybrid Floating Gate in MOS Structures", Key Engineering Materials, Vol. 470, 2011, pp. 135-139.
  86. K. Makihara, M. Ikeda, H. Deki, A. Ohta and S. Miyazaki, "Self-Align Formation of Si Quantum Dots", ECS Trans., Vol. 33, No. 6, 2010, pp. 661-667.
  87. T. Matsumoto, S. Higashi, K. Makihara, M. Akazawa and Seiichi Miyazaki, "Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Ge Microliquid", ECS Trans., Vol. 33, No. 6, 2010, pp. 165-170.
  88. M. Muraguchi, T. Endoh, Y. Takada, Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, and Y. Shigeta, "Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices", Physica E, Vol. 42, Issue 10, 2010, pp. 2602–2605.
  89. A. Kawanami, K. Makihara, M. Ikeda and S. Miyazaki, "Formation of Cobalt and Cobalt-silicide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma", Jpn. J. Appl. Phys., Vol.49, 2010, 08JA04 (4 pages).
  90. K. Makihara and S. Miyazaki, "Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using AFM/Kelvin Probe Technique", Jpn. J. Appl. Phys., Vol.49, No.2, 2010, 065002 (4 pages).
  91. K. Makihara, M. Ikeda, A. Kawanami and S. Miyazaki, "Random Telegraph Signals in Two-Dimensional Array of Si Quantum Dots", Trans. of IEICE, Vol.E93-C, No.5, 2010, pp. 569-572.
  92. N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki, "Light Induced Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid FG in MOS Structures", Jpn. J. Appl. Phys., Vol. 49, 2010, 04DJ04 (4pages).
  93. T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige and S. Miyazaki, "Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation", Physica Status Solidi C, Vol. 7, No. 3-4, 2010, pp. 732-734.
  94. Y. Sakurai, Y. Takada, J-I Iwata, K. Shiraishi, S. Nomura, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, "Electron Tunneling between Si Quantum dots and Tow Dimensional Electron Gas under Optical Excitation at Low Temperatures", ECS Trans., Vol. 28, No. 1, 2010, pp. 369-374.
  95. K. Makihara, K. Shimanoe, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki, "Formation Mechanism of Metal nanodots Induced by Remote Plasma Exposure", Journal of Optoelectronics and Advanced Materials, Vol. 12, No. 3, 2010, pp. 626-630.
  96. Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, "Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots", Physica E, Vol. 42, Issue 4, 2010, pp. 918–921.
  97. S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto, "Formation of High Density Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Memory Application", J. of Materials Science Forum Vol. 638-642, 2010, pp 1725-1730.
  98. S. Miyazaki, K. Makihara, M. Ikeda, "Formation and Characterization of Hybrid Nanodot Stack Structure for Floating Gate Application", Thin Solid Films, Vol. 518, 2010, pp. S30-S34.
  99. Y. Sakurai, J. Iwata, M. Muraguchi, Y. Shigeta, Y. Takada, S. Nomura, T. Endoh, S. Saito, K. Shiraishi, M. Ikeda, K. Makihara and S. Miyazaki, "Temperature Dependence of Electron Tunneling between Two Dimensional Electron Gas and Si Quantum Dots", Jpn. J. Appl. Phys., Vol. 49, No. 1, 2010, 014001 (4pages).
  100. J. Xu, K. Makihara, H. Deki and S. Miyazaki, "Electroluminescence from Si Quantum Dots/SiO2 Multilayers with Ultrathin Oxide Layers due to Bipolar Injection", Solid State Communications, Vol. 149, 2009, pp. 739-742.
  101. S. Mahboob, K. Makihara, A. Ohta, S. Higashi, Y. Hata, A. Kuroda and S. Miyazaki, "Surface Potential Changes Induced by Physisorption of Si-tagged Protein A on HF-last Si(100) and Thermally Grown SiO2 Surface", ECS Trans., Vol. 19, No. 22, 2009, pp. 35-43.
  102. Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara and S. Miyazaki, "Physics of Nano-contact Between Si Quantum Dots and Inversion Layer", ECS Trans., Vol. 25, No. 7, 2009, pp. 463-469.
  103. S. Miyazaki, K. Makihara and M. Ikeda, "Charge Storage Characteristics of Hybrid Nanodots Floating Gate", ECS Trans., Vol. 25, No. 7, 2009, pp. 433-439.
  104. K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi and S. Miyazaki, "Electronic Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique", Trans. of MRS-J., Vol. 34, No. 2, 2009, pp. 309-312.
  105. S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto, "Formation of Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Application", Solid State Phenomena Vol. 154, 2009, pp. 95-100.
  106. K. Shimanoe, K. Makihara, M. Ikeda, R. Matsumoto, S. Higashi and S. Miyazaki, "Formation of Pd Nanodots Induced by Remote Hydrogen Plasma Treatment and Its Application to Floating Gate MOS Memories", IEICE Trans. on Electronics, Vol. E92-C, No. 5, 2009, pp. 616-619.
  107. Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara and S.Miyazaki, "Temperature Dependence of Capacitance of Si Quantum Dot Floating Gate MOS Capacitor", J. Phys.: Cond. Mat., Vol.150, 2009, p. 022071.
  108. K. Makihara, K. Shimanoe, Y. Kawaguchi, M. Ikeda, S. Higashi and S. Miyazaki, "Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-plasma Treatment and Their Electrical Charging Characteristics", Jpn. J. Appl. Phys., Vol.47, No.4, 2008, pp. 3099-3102.
  109. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM", IEICE Trans. on Electronics, Vol. E91-C, No. 5, 2008, pp. 712-715.
  110. K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki, "Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2", ECS Trans., Vol.16, No.10, 2008, pp. 255-260.
  111. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics", Thin Solid Films, Vol.517, No. 1, 2008, pp. 306-308.
  112. S. Miyazaki, K. Makihara and M. Ikeda, "Control of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application", Thin Solid Films, Vol. 517, No. 1, 2008, pp. 41-44.
  113. T. Hosoi, K. Sano, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki and K. Shibahara, "Interface Properties and Effective Work Function of Sb-Predoped Fully Silicided NiSi Gate, Surface and Interface Analysis", Vol. 40 (2008) pp. 1126-1130.
  114. T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki, "Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4", Thin Solid Films, Vol.517, No. 1, 2008, pp. 216-218.
  115. J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki, "Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots, Solid State Phenomena", Vol.121-123, 2007, pp. 557-560.
  116. R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique", Materials Science Forum, Vol.561-565, 2007, pp.1213-1216.
  117. S. Miyazaki, M. Ikeda and K. Makihara, "Characterization of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application", ECS Trans., Vol.11, No.6, 2007, p.233-243.
  118. A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya and Y. Nara, "Characterization of chemical bonding features and defect state density in HfSiOxNy/SiO2 gate stack", Microelec. Eng., Vol.84, 2007, pp. 2386-2389.
  119. T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki, "High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Inductively-Coupled Plasma of GeH4 + H2", Materials Science Forum, Vol.561-565, 2007, pp.1209-1212.
  120. K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Electronic Charged States of P-doped Si Quantum Dots Using AFM/Kelvin Probe", Thin Solid Films, Vol.508, No.1-2, 2006, pp. 186-189.
  121. J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki, "Decay Characteristics of Electronic Charged States of Si Quantum Dots as Evaluated by an AFM/Kelvin Probe Technique", Thin Solid Films, Vol.508, No.1-2, 2006, pp. 190-194.
  122. K. Makihara, M. Ikeda, T. Nagai, H. Murakami, S. Higashi and S. Miyazaki, "Fabrication of Multiply-Stacked Si Quantum Dots for Floating Gate MOS Devices", Trans. of MRS-J, Vol.31, No.1, 2006, pp. 133-136.
  123. S. Miyazaki, M. Ikeda and K. Makihara, "Characterization of Electronic Charged States of Si-Based Quantum Dots and Their Application to Floating Gate Memories", ECS Trans., Vol.2, No.1, 2006, p.157-164.
  124. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Study of Charged States of Si Quantum Dots with Ge Core", ECS Trans., Vol.3, No.7, 2006, pp. 257-262.
  125. T. Sakata, K. Makihara, S. Higashi and S. Miyazaki, "Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4", Thin Solid Films, Vol.515, No.12, 2006, pp.4971-4974.
  126. K. Makihara, H. Deki, H. Murakami, S. Higashi and S. Miyazaki, "Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment", Appl. Surf. Sci., Vol.244, No.1-4, 2005, pp. 75-78.
  127. Y. Okamoto, K. Makihara, H. Murakami, S. Higashi and S. Miyazaki, "Formation of Microcrystalline Germanium (mc-Ge:H) Films From Inductively-Coupled Plasma CVD", Appl. Surf. Sci., Vol.244, No.1-4, 2005, pp. 12-15.
  128. K. Makihara, Y. Okamoto, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Germanium Nanocrystallites Grown on SiO2 by a Conductive AFM Probe Technique", IEICE Trans. on Electronics, Vol. E88-C, No. 4, 2005, pp. 705-708.
  129. K. Makihara, Y. Okamoto, H. Nakagawa, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki, "Electrical Characterization of Ge Microcrystallites by Atomic Force Microscopy Using a Conducting Probe", Thin Solid Films, Vol.457, 2004, pp. 103-108.
  130. K. Takase, T. Shimizu, K. Makihara, Y. Takahashi, Y. Takano, K. Sekizawa, Y. Kuroiwa, S. Aoyagi, and A. Utsumi, "Structural Defects effect on Ferromagnetism of Layered Oxysulfide (La1-xCaxO)Cu1-xNixS", Physica B, Vol.329-333, part2, 2003, pp. 961-962.
  131. K. Takase, T. Shimizu, K. Makihara, H. Sato, H. Negishi, Y. Takahashi, Y. Takano, K. Sekizawa, Y. Kuroiwa, S. Aoyagi, A. Utsumi, A. Wada, A. Ino, H. Namatame, M. Taniguchi, "Electrical Resistivity and Photoemission Spectra of Layered Oxysulfide (La1-xCaxO)Cu1-xNixS", Physica B, Vol.329-333, part2, 2003, pp. 898-899.
  132. K. Takase, M. Koyano, T. Shimizu, K. Makihara, Y. Takahashi, Y. Takano, and K. Sekizawa, "Electrical Resistivity and Photoluminescence Spectrum of Layered Oxysulfide (LaO)CuS", Solid State Commun., Vol.123, 2002, pp. 531-534.

国際会議発表

  1. A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki, “Segregation Control for Ultrathin Ge Layer in Al/Ge(111) system” 13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2021)/14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021), 10aC02O (Online, March 7-11, 2021).
  2. W. Jialin, H. Zhang, A Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, “Magnetoelectronic Transport Characteristics of Fe3Si Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma” 13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2021)/14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021), 09P-26 (Online, March 7-11, 2021).
  3. T. Takemoto, T. Niibayashi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki, “Characterization of Electron Field Emission from Phosphorus delta-Doped Si-QDs/Undoped Si-QDs Multiple-Stacked Structures” 13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2021)/14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021), 09P-21 (Online, March 7-11, 2021).
  4. S. Honda, K. Makihara, H. Furuhata, A. Ohta, M. Ikeda, D. Oshima, T. Kato, and S. Miyazaki, “Influence of Substrate Temperature on Plsma-Enhaced Self-Assembling Formation of High Density FePt-Nanodot” 13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2021)/14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021), 09P-23 (Online, March 7-11, 2021).
  5. H. Zhixue, H. Zhang, A. Ohta, M. Ikeda, N. Taoka, K. Makihara, and S. Miyazaki, “High-Density Formation of FeSi2 Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma” 13th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2021)/14th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2021), 09P-25 (Online, March 7-11, 2021).
  6. H. Sugawa, A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki, “Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing” PRiME 2020 (ECS, ECSJ, & KECS Joint Meeting), G03-1725 (on-demand digital platform, October 4-9, 2020).
  7. J. Wu, H. Zhang , H. Furuhata, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki, “Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots By Using a Magnetic AFM Probe” PRiME 2020 (ECS, ECSJ, & KECS Joint Meeting), G03-1723 (on-demand digital platform, October 4-9, 2020).
  8. T. Niibayashi, T. Takemoto, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki, “Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode” PRiME 2020 (ECS, ECSJ, & KECS Joint Meeting), G03-1760 (on-demand digital platform, October 4-9, 2020).
  9. A. Ohta, K. Yamada, H. Sugawa, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki, “Growth of Ultrathin Ge Crystal Layer by Surface Segregation and Flattening of Ag/Ge Structure” 2020 International Conference on Solid State Devices and Materials (SSDM2020), K-2-03, pp.711-712 (All-VIRTUAL Conference Zoom, Sept. 27-30, 2020).
  10. [Invited] K. Makihara, M. Ikeda, and S. Miyazaki, "Fabrication of Impurity Doped Si Quantum Dots with Ge Core for Light Emission Devices", 8th International Symposium on Control of Semiconductor Interfaces, S2-3 (Sendai, Nov. 27-30, 2019).
  11. [Invited] K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki, "Formation and Characterization of Si Quantum Dots with Ge Core for Electroluminescent Devices", Compound Semiconductor Week 2019 (CSW2019), TuB3-3 (Nara, May 19-23, 2019).
  12. A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, “Evaluation of Valence Band Top of Si Surface by Vacuum Ultraviolet Photoelectron Spectroscopy with Variable Incident Photon Energy,” 12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2020)/13th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2020), 10aC03O (Nagoya Univertsity, Nagoya, Aichi, Japan, March 8-11, 2020).
  13. T. Niibayashi, T. Takemoto, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki, “Study on Electron Field Emission from Si-Quantum-Dots with Ge-Core/Si-Quantum-Dots Hybrid Stacked Structures,” 12th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2020)/13th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2020), 11aC05O (Nagoya Univertsity, Nagoya, Aichi, Japan, March 8-11, 2020).
  14. J. Wu, H. Furuhata, H. Zhang, Y. Hashimoto, M. Ikeda, A. Ohta, A. Kohno, K. Makihara, and S. Miyazaki, "Formation of High Density Fe-silicide Nanodots Induced by Remote Hydrogen Plasma and Characterization of Their Magnetic Properties", 8th International Symposium on Control of Semiconductor Interfaces, WP2-18 (Sendai, Nov. 27-30, 2019).
  15. H. Zhang, X. Liu, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki, "High Density Formation and Magnetoelectronic Transport Properties of Magnetic Fe-silicide Nanodots", 8th International Symposium on Control of Semiconductor Interfaces, WP2-19 (Sendai, Nov. 27-30, 2019).
  16. T. Maehara, S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki, "Characterization of Photoluminescence from Si-QDs with B δ-Doped Ge Core", 8th International Symposium on Control of Semiconductor Interfaces, TA2-3 (Sendai, Nov. 27-30, 2019).
  17. S. Suzuki, S. Mukai, W. J. Chun, M. Nomura, S. Fujimori, M. Ikeda, K. Makihara, S. Miyazaki, K. Asakura, "Application of Surface Chemical Imaging by XANAM to Ge Surfaces", 8th International Symposium on Control of Semiconductor Interfaces, FA2-3 (Sendai, Nov. 27-30, 2019).
  18. M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki, "Operand Study of Multiple Stacked Si Quantum Dots by Hard X-ray Photoelectron Spectroscopy", International Conference on Materials and Systems for Sustainability 2019, A1-III-3 (Nagoya, Nov. 1-3, 2019).
  19. S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki, "Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties", International Conference on Materials and Systems for Sustainability 2019, A3-P-49 (Nagoya, Nov. 1-3, 2019).
  20. A. Ohata, M. Ikeda, K. Makihara, and S. Miyazaki, "Determination of Complex Dielectric Function of Oxide Film from Photoemission Measurements", International Conference on Materials and Systems for Sustainability 2019, A1-P-23 (Nagoya, Nov. 1-3, 2019).
  21. K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki, "Formation of High Density PtAl Nanodots Induced by Remote Hydrogen Plasma Exposure", 41st International Symposium on Dry Process, P-54 (Hiroshima, Nov. 21-22, 2019).
  22. K. Makihara, S. Fujimori, M. Ikeda, A. Ohta, and S. Miyazaki, "Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties", 32nd International Microprocesses and Nanotechnology Conference, 30P-7-54L (Hiroshima, Oct. 28-31, 2019).
  23. M. Kobayashi, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, N. Taoka, T. Shimizu, K. Makihara, and S. Miyazaki, "Growth of Hetero-epitaxial Al on Ge(111) and Segregation of Ge Crystal by Annealing", 32nd International Micropr
  24. Shuntaro Fujimori, Ryo Nagai, Mitsuhisa Ikeda, Akio Ohta, Katsunori Makihara, and Seiichi Miyazaki, "Effect of B-doping on Photoluminescence Properties of Si-QDs with Ge Core", 2nd Joint ISTDM / ICSI 2019 Conference; 10th International SiGe Technology and Device Meeting (ISTDM)/ 12th International Conference on Silicon Epitaxy and Heterostructures (ICSI) (University of Wisconsin-Madison, USA, June 2-6, 2019).
  25. Y. Hashimoto, K. Makihara, M. Ikeda, A. Ohta, A. Kohno, S. Miyazaki, "Formation of high density Fe-silicide nanodots induced by remote H2 plasma and their magnetic properties", The 5th Asia-Pacific Conference on Semiconducting Silicides and Related Materials, 2019 (APAC-Silicide 2019), Mon-a-O25 (Seagaia Convention Center, Miyazaki, Japan, July 20-23, 2019).
  26. T. Takemoto, Y. Futamura, M. Ikeda, A. Ohta, K. Makihara, S. Miyazaki, "Characterization of Electron Field Emission of Multiply-Stacked Si-QDs/SiO2 Structures", 2019 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2019), A3-5 (Busan, Korea, July 1-3, 2019).
  27. [Invited] K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki, "Formation and Characterization of Si Quantum Dots with Ge Core for Electroluminescent Devices", Compound Semiconductor Week 2019 (CSW2019), TuB3-3 (Nara, May 19-23, 2019).
  28. S. Miyazaki, K. Makihara, M. Ikeda, and A. Ohta, "Formation and Characterization of Si/Ge Quantum Dots for Optoelectronic Application", International Conference on Processing & Manufacturing of Advanced Materials (Thermec'2018), H6-5, Paris, France, July 9-13, 2018
  29. Yuto Futamura, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda and Seiichi Miyazaki, "Electron Field Emission from MultiplyStacked Structures consisting of Ge-Core Si Quantum Dots and Si Quantum Dots", 11th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2019)/12th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2019), 18pC14O (Nagoya Institute of Technology, Nagoya, Japan, March 17-21, 2019).
  30. Ryo Nagai, Shuntaro Fujimori, Takuya Maehara, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Impact of Boron Doping into Si Quantum Dots with Ge Core on Their Photoluminescence Properties", 11th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2019)/12th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2019), 20aC03O (Nagoya Institute of Technology, Nagoya, Japan, March 17-21, 2019).
  31. Y. Xu, A. Ohta, M. Ikeda, K. Makihara, N. Taoka, T. Narita, D. Kikuta, K. Shiozaki, T. Kachi, and S. Miyazaki, "Study of GaN(0001) Surface Cleaning Using HCl-based Solutions", 11th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2019)/12th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2019), (Nagoya Institute of Technology, Nagoya, Japan, March 17-21, 2019).
  32. N. X. Truyen, N. Taoka, A. Ohta, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, "Carrier Conduction in SiO2/GaN Structure with Abrupt Interface", The 2018 International Symposium on VLSI Technology, Systems and Applications (2018 VLSI-TSA), Hsinchu.
  33. A. Ohta K. Ito, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki,"Ge 2D Crystal Growth on Hetero-epitaxial Ag/Ge(111) by N2 Annealing", 49th IEEE Semiconductor Interface Specialists Conference, San Diego, December 6-8, 2018.
  34. Yasushi Hashimoto, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda and Seiichi Miyazaki, "High Density Formation of FePt Nanodots and Their Magnetic Properties", 40th International Symposium on Dry Process (DPS), (Toyoda Auditorium, Nagoya University, Nagoya, Aichi, Japan, November13 - 15, 2018)
  35. N. Takada, N. Taoka, T. Yamamoto, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, Impact of Remote Plasma Oxidation of GaN Surface on Photoluminescence Properties, 40th International Symposium on Dry Process (DPS), (Toyoda Auditorium, Nagoya University, Nagoya, Aichi, Japan, November13 - 15, 2018)
  36. Yuto Futamura, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda and Seiichi Miyazaki, "Characterization of Electron Field Emission from Multiple-Stacked Ge Core Si-QDs", 12th International WorkShop on New Group IV Semiconductor Nanoelectronics, P-06 (Dec. 6-7, Sendai, Japan)
  37. Shuntaro Fujimori, Ryo Nagai, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Selective Growth of Si for the Formation of Si-QDs with Ge Core and Their Photoluminescence Properties", 12th International WorkShop on New Group IV Semiconductor Nanoelectronics, P-04 (Dec. 6-7, Sendai, Japan)
  38. Yasushi Hashimoto, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda and Seiichi Miyazaki, "Formation and Magnetic Characterization of High Density FePt Nanodots Induced by Remote H2 Plasma" , 12th International WorkShop on New Group IV Semiconductor Nanoelectronics, P-01 (Dec. 6-7, Sendai, Japan)
  39. Shuntaro Fujimori, Ryo Nagai, Mitsuhisa Ikeda, Katsunori Makihara, and Seiichi Miyazaki, "Study on photoluminescence from Si quantum dots with Ge core", International Conference of Atomic Control Surface and Interface-14 (ACSIN-14), Sendai, Oct. 21-25, 2018, 24E-14.
  40. Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda, and Seiichi Miyazaki, "Impact of Surface Pre-Treatment on Metal Migration Induced by Remote H2-Plasma Treatment", International Conference of Atomic Control Surface and Interface-14 (ACSIN-14), Sendai, Oct. 21-25, 2018, 22P006.
  41. N. Takada, N. Taoka, A. Ohta, T. Yamamoto, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, "Photoluminescence from insulator/GaN structures formed with remote plasma", International Conference of Atomic Control Surface and Interface-14 (ACSIN-14), Sendai, Oct. 21-25, 2018, 22P112.
  42. T. Imagawa, A. Ohta, M. Ikeda, K. Makihara, T. Narita, K. Itoh, D. Kikuta, T. Kachi, K. Shiozaki, and S. Miyazaki, "Vacuum Ultraviolet Photoelectron Spectroscopy Study of GaN(0001) Surfaces", International Conference of Atomic Control Surface and Interface-14 (ACSIN-14), Sendai, Oct. 21-25, 2018, 22P112.
  43. L. Peng, A. Ohta, N.X. Truyen, M. Ikeda, K. Makihara, N. Taoka, T. Narita, K. Itoh, D. Kikuta, K. Shiozaki, T. Kachi, S. Miyazaki, "Wet-chemical Cleaning of Epitaxial GaN(0001) Surfaces", 2018 International Conference of Solid State of Device and Materials (SSDM), Tokyo, Sep. 19-13.
  44. N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, S. Miyazaki, "XPS Study of Chemical Bonding Features and Inner Potential at Y2O3/SiO2 Interfaces", 2018 International Conference of Solid State of Device and Materials (SSDM), Tokyo, Sep. 19-13.
  45. H. Zhang, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki, "High Density Formation and Magnetoelectronic Transport Properties of Fe3Si Nanodots", AiMES 2018 Meeting, ECS and SMEQ Joint International Meeting, Cancun, Sep. 30-Oct. 4.
  46. Ryo Nagai, Shuntaro Fujimori, Mitsuhisa Ikeda, Katsunori Makihara, Akio Ohta and Seiichi Miyazaki, "Characterization of Electron Charging and Local Electron Transport Properties of Si-QDs with Phosphorus Doped Ge Core", Japan Student Chapter Meeting 2018 in Osaka (JSCM2018) (Osaka, Japan, Sep 4-5, 2018), P-3.
  47. Yuto Futamura, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda, and Seiichi Miyazaki, "Characterization of Electron Field Emission from Multiple-Stacking Si Quantum Dots with Ge Core", 2018 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2018), (Kitakyushu, Japan, July 2-4, 2018), B8-3.
  48. N. Taoka, T. Kobyashi, M. Nakamura, T. Sagawa, N. X. Truyen, A. Ohta, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, T. kubo, T. Yamada, T. Egawa, S. Miyazaki, S. Motoyama, M. Shimizu, "Modulation of GaN MOS Interface Properties with Excess Ozone Exposure During Atomic Layer Deposition", 2018 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2018), (Kitakyushu, Japan, July 2-4, 2018), B8-3.
  49. Ryo Nagai, Shuntaro Fujimori, Mitsuhisa Ikeda, Katsunori Makihara, Akio Ohta, and Seiichi Miyazaki, "Characterization of Electron Charging and Transport Properties of Si-QDs with Phosphorus Doped Ge Core", 1st Joint ISTDM / ICSI 2018 Conference; 9th International SiGe Technology and Device Meeting (ISTDM)/11th International Conference on Silicon Epitaxy and Heterostructures (ICSI) (Potsdam, Germany, May 27-31, 2018), P-38.
  50. Katsunori Makihara, Mitsuhisa Ikeda, Akio Ohta, and Seiichi Miyazaki, "Electroluminescence from Multiply Stacked Si Quantum Dots with Ge Core by Alternate Carrier Injection", 1st Joint ISTDM / ICSI 2018 Conference; 9th International SiGe Technology and Device Meeting (ISTDM)/11th International Conference on Silicon Epitaxy and Heterostructures (ICSI) (Potsdam, Germany, May 27-31, 2018).
  51. N. Taoka, N. X. Truyen, T. Yamamoto, A. Ohta, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, S. Miyazaki, M. Shimizu, "Formation Mechanism of SiO2/GaN Interface without Significant Ga -oxidation", 1st Joint ISTDM / ICSI 2018 Conference; 9th International SiGe Technology and Device Meeting (ISTDM)/11th International Conference on Silicon Epitaxy and Heterostructures (ICSI) (Potsdam, Germany, May 27-31, 2018).
  52. [Invited] K. Makihara, M. Ikeda, S. Miyazaki,"Luminescence Studies of Multiply Stacked Si Quantum Dots with Ge Core", 11th International WorkShop on New Group IV Semiconductor Nanoelectronics, I-09 (Feb. 23-24, Sendai,Japan)
  53. [Invited] N. Taoka, T. Kobayashi, M. Nakamura, T. Sagawa, N. X. Truyen, A. Ohta, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, T. Kubo, T. Yamada, T. Egawa, S. Miyazaki, S. Motoyama, and M. Shimizu,"Insulator/GaN Interface Control for Intelligent Power Integrated Circuit", 11th International WorkShop on New Group IV Semiconductor Nanoelectronics, I-13 (Feb. 23-24, Sendai,Japan)
  54. [Invited] S. Miyazaki, K. Yamada, Y. Nakashima, K. Makihara, A. Ohta, and M. Ikeda, "Fabrication of Multiple Stack Si/Ge Quantum Dots for Light/Electron Emission Devices" The 1st International Semiconductor Conference for Global Challenges, (Nanjing, China, July 2017).
  55. [Invited] S. Miyazaki, K. Yamada, M. Ikeda, and K. Makihara, "Study of Light Emission from Si Quantum Dots with Ge Core" Frontiers in Materials Processing Applications, Research and Technology, (Bordeaux, France, July 2017).
  56. K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki,"Control of Surface Segregated Ultrathin Ge Layer Formation on Ag Surface", 10th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2018)/11th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2018), 06P38 (Meijyo University, Nagoya, Japan, March 4-8, 2018).
  57. Y. Nakashima, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki,""Operand Study of Multiple Stacked Si Quantum Dots by Hard X-ray Photoelectron Spectroscopy", 10th Anniversary International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2018)/11th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2018), 05pE120 (Meijyo University, Nagoya, Japan, March 4-8, 2018).
  58. R. Nagai, K. Yamada, S. Fujimori, M. Ikeda, A. Ohta, K. Makihara, and S. Miyazaki,"Electroluminescence from Si-QDs with Ge Core", 11th International WorkShop on New Group IV Semiconductor Nanoelectronics, P-05 (Feb. 23-24, Sendai,Japan)
  59. K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki,"Insights into Growth of Two-Dimensional Ge Crystal on Epitaxial Ag/Ge(111) by Thermal Annealing", 11th International WorkShop on New Group IV Semiconductor Nanoelectronics, P-06 (Feb. 23-24, Sendai,Japan)
  60. N. Fujimura, A. Ohta, M. Ikeda, K. Makihara and S. Miyazaki,"XPS Study on High-k/SiO2 Interface -Correlation between Electrical Dipole and Oxygen Density -", 11th International WorkShop on New Group IV Semiconductor Nanoelectronics, P-07 (Feb. 23-24, Sendai,Japan)
  61. Y. Futamura, Y. Nakashima, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki,"Evaluation of Potential Distribution in Multiply-Stacked Si Quantum Dots Structure by Hard X-ray Photoelectron Spectroscopy", 11th International WorkShop on New Group IV Semiconductor Nanoelectronics, P-08 (Feb. 23-24, Sendai,Japan)
  62. N. Taoka, T. Kobayashi, M. Nakamura, T. Sagawa, N. X. Truyen, A. Ohta, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, T. Kubo, T. Yamada, T. Egawa, S. Miyazaki, and M. Shimizu,"Relationships between Al2O3/GaN Interface Properties near Conduction Band Edge and Post-Deposition Annealing Temperatures", 48th IEEE Semiconductor Interface Specialists Conference (San Diego, USA, Dec. 5 - 8, 2018).
  63. N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, S. Miyazaki, "XPS Study on Evaluation of Electrical Dipole and Atomic Density Ratio at Ultrathin High-k Dielectrics/SiO2 Interface," 2017 International Workshop on Dielectric Thin Films For Future Electron Devices - Science and Technology - (IWDTF 2017), P-1, pp.15-16 (Todaiji Temple Cultural Center, Nara, Japan, November 20-22, 2017)
  64. N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki, "Electrical properties of SiO2/GaN interfaces formed by remote oxygen plasma mixed with He or Ar", 2017 International Workshop on Dielectric Thin Films for Future Electron Devices - Science and Technology, November, 20-22, 2017, Nara, Japan.
  65. T. Yamamoto, N. Taoka, A. Ohta1, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, "Energy Band Structure of Ga-oxide/GaN Interface Formed by Remote O2 Plasma", 2017 International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology (IWDTF), (Todaiji Temple Cultural Center, Nara, Japan, November20 - 22, 2017)
  66. T. Yamamoto, N. Taoka, A. Ohta1, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, "Oxidation of GaN surface by remote oxygen plasma", 39th International Symposium on Dry Process (DPS), (Tokyo Institute of Technology, Tokyo, Japan, November16 - 17, 2017)
  67. K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki, "Ultrathin Ge Growth on Flat Ag Surface in Hetero-Epitaxial Ag/Ge Structure by Annealing", 30th International Microprocesses and Nanotechnology Conference (MNC 2017) (Jeju, November, 2017), 8B-6-3.
  68. N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Direct Observation of Electrical Dipole and Atomic Density at High-k Dielectrics/SiO2 Interface" 2017 International Conference on Solid State Devices and Materials, K-1-02, pp.501-502 (Sendai International Center, Sendai, Japan, September 19-22, 2017)
  69. N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki, "High thermal stability of abrupt SiO2/GaN interface with low interface state density", International Conference on Solid State Devices and Materials, (September, 20-22, 2017, Sendai, Japan).
  70. K. Makihara, M. Ikeda, N. Fujimura, A. Ohta, and S. Miyazaki, "Electroluminescence of Super-atom-like Si-Ge based Quantum Dots Floating Gate" 2017 International Conference on Solid State Devices and Materials, PS-9-03, (Sendai International Center, Sendai, Japan, September 19-22, 2017)
  71. K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki, "Growth of 2D Crystal of Group-IV Elements on Epitaxial Ag(111)", 2017 International Conference on Solid State Devices and Materials, M-3-02, pp.593-594 (Sendai International Center, Sendai, Japan, September 19-22, 2017)
  72. S. Ishida, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "High Density Formation of Fe-silicide Nanodots and Their Magnetic Properties", IUMRS-ICAM 2017 (August 27-September 1, 2017, Kyoto)
  73. N. X. Truyen, N. Taoka, A. Ohta, K. Makihara, H. Yamada, T. Takahashi, M. Ikeda, M. Shimizu, and S. Miyazaki, "Abrupt SiO2/GaN Interface Properties Formed by Remote Plasma Assisted CVD", 2017 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 2B-3 (Gyeongju, Korea, July 3-5, 2017)
  74. K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki, "Magnetoelectronic Transport of Double Stack FePt Nanodots", 2017 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices 8A-3 (Gyeongju, Korea, July 3-5, 2017)
  75. H. Zhang, K. Makihara, A. Ohta, M. Ikeda, and S, Miyazaki, "Fabrication and Magnetoelectronic Transport Fe3Si-Nanodots on Ultrathin SiO2", The 10th International Conference on Silicon Epitaxy and heterostructures, (Coventry, UK, 14 -19th May 2017).
  76. Y. Nakashima, D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki, "Evaluation of Potential Distribution in Multiple Stacked Si Quantum Dots Structure by Hard X-ray Photoelectron Spectroscopy", The 10th International Conference on Silicon Epitaxy and heterostructures, (Coventry, UK, 14 -19th May 2017).
  77. K. Yamada, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki, "Characterization of Electroluminescence from Si-QDs with Ge Core", The 10th International Conference on Silicon Epitaxy and heterostructures, (Coventry, UK, 14 -19th May 2017).
  78. A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Evaluation of Energy Distribution of Filled Defects of Si Oxide Thin Films from Total Photoelectron Yield Spectroscopy," 20th Conference on Insulating Films on Semiconductors (INFOS2017), P2 (Seminaris SeeHotel Potsdam, Potsdam, Germany, June 27-30, 2017)
  79. A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, S. Miyazaki, "Potential Changes and Chemical Bonding Features for Si-MOS Diodes as Evaluated from HAXPES Analysis," 20th Conference on Insulating Films on Semiconductors (INFOS2017), P1 (Seminaris SeeHotel Potsdam, Potsdam, Germany, June 27-30, 2017)
  80. S. Miyazaki, K. Yamada, M. Ikeda, and K. Makihara, "High Density Formation of and Light Emission from Si-Quantum Dots with Ge core", MRS spring Meeting (April 17-21, 2017, Phoenix, Arizona), ED6.9.02
  81. <[Invited] S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda, Processing and Characterization of Si/Ge Quantum Dots, Tech. Dig. of Int. Electron Devices Meeting 2016 (IEDM), 826-830 (2016).
  82. [Invited] S. Miyazaki, D. Takeuchi, M. Ikeda, and K. Makihara, "Formation and Characterization of Si Quantum Dots with Ge Core for Functional Devices", 2016 International Conference on Solid State Devices and Materials (Tsukuba, Sep. 27-29, 2016), D-5-01.
  83. [Invited] A. Ohta, T. Yamamoto, N. Truyen, M. Ikeda, K. Makihara, and S. Miyazaki, "Total Photoelectron Yield Spectroscopy of Electronic States of Oxide Thin Films and Wide Bandgap Semiconductors", 10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", I-07 (Laboratory for Nanoelectronics and Spintronics Research Institute of Electrical Communication Tohoku University, Sendai, Miyagi, Japan, February 13-14, 2017).
  84. D. R. Purba, K. Makihara, A. Rusydi, S. Miyazaki, and Y. Darma, "Effects of Hydrogen Plasma Treatment on Optical Properties of LSAT ((LaAlO3)0.3-(Sr2AlTaO6)0.7", The 2nd Materials Research Society of Indonesia (MRS-Id) Meeting (24-26 October 2016, Bandung, Indonesia).
  85. A. Ohta, N. Truyen, N. Fujimura, M. Ikeda, K. Makihara, and S. Miyazaki, "Total Photoelectron Yield Spectroscopy of Electronic States of GaN Surface", 8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016)/9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016), 03aC05O (Chubu University, Kasugai, Aichi, Japan, March 1-5, 2017).
  86. N. Truyen, A. Ohta, K. Makihara, M. Ikeda and S. Miyazaki, "PYS Study on Energy Distributions of Defect States in Remote O2 Plasma Enhanced CVD SiO2/GaN Structure", 8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016)/9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016), 04aC08O (Chubu University, Kasugai, Aichi, Japan, March 1-5, 2017).
  87. K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki, "Impact of Thermal Annealing on Mophology and Chemical Bonding Features at Epitaxial Ag(111) Surface Grown on Ge(111)", 8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016)/9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016), 03P60 (Chubu University, Kasugai, Aichi, Japan, March 1-5, 2017).
  88. Y. Wen, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Formation of Mn-germanide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma", 8th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2016)/9th International Conference on Plasma-Nano Technology & Science (IC-PLANTS2016), 03P82 (Chubu University, Kasugai, Aichi, Japan, March 1-5, 2017).
  89. M. Ikeda, L. Gao, K. Yamada, K. Makihara, A. Ohta, and S. Miyazaki, "Formation of Si-based Quantum Dots on Sub-micron patterned Si Substrates", 10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", O-07(Laboratory for Nanoelectronics and Spintronics Research Institute of Electrical Communication Tohoku University, Sendai, Miyagi, Japan, February 13-14, 2017).
  90. N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Potential Change and Electrical Dipole at Ultrathin Oxide/Semiconductor Interfaces as Evaluated by XPS", 10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", O-09, (Laboratory for Nanoelectronics and Spintronics Research Institute of Electrical Communication Tohoku University, Sendai, Miyagi, Japan, February 13-14, 2017).
  91. N. Truyen, A. Ohta, M. Ikeda, K. Makihara and S. Miyazaki, "Characterization of Remote Plasma CVD SiO2 on GaN(0001)", 10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", O-10 (Laboratory for Nanoelectronics and Spintronics Research Institute of Electrical Communication Tohoku University, Sendai, Miyagi, Japan, February 13-14, 2017).
  92. K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki, "Chemical Analysis of Epitaxial Ag(111) Surface formed on Group-IV Semiconductors", 10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", P-11 (Laboratory for Nanoelectronics and Spintronics Research Institute of Electrical Communication Tohoku University, Sendai, Miyagi, Japan, February 13-14, 2017).
  93. Y. Nakashima, D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki, "Characterization of Field Electron Emission from Multiply-Stacking Si Quantum Dots", 10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", P-13 (Laboratory for Nanoelectronics and Spintronics Research Institute of Electrical Communication Tohoku University, Sendai, Miyagi, Japan, February 13-14, 2017).
  94. T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Evaluation of Dielectric Function of Oxide Thin Films from Photoemission Measurements", 10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", P-08 (Laboratory for Nanoelectronics and Spintronics Research Institute of Electrical Communication Tohoku University, Sendai, Miyagi, Japan, February 13-14, 2017).
  95. K. Yamada, M. Ikeda, K. Makihara, and S. Miyazaki, "Luminescence Studies of High Density Si Quantum Dots with Ge core", 10th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", P-08 (Laboratory for Nanoelectronics and Spintronics Research Institute of Electrical Communication Tohoku University, Sendai, Miyagi, Japan, February 13-10, 2017).
  96. T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "XPS Study on Dielectric Function of Thermally-grown SiO2", JSPS Meeting 2016: Workshop on "Atomically Controlled Processing for Ultra-large Scale Integration", (Julich, Germany, November 24-26, 2016).
  97. N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Characterization of Electrical Dipole Formed at HfO2/SiO2 and SiO2/Si Interfaces Using by XPS", JSPS Meeting 2016 : Workshop on "Atomically Controlled Processing for Ultra-large Scale Integration" (Julich, Germany, Nov. 24-26, 2016), pp. 56-57.
  98. Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "High Density Formation of Ta/Ta-Oxide Core-Shell Nanodots", 29th International Microprocesses and Nanotechnology Conference (MNC 2016) (Kyoto, November, 2016), 11P-11-57.
  99. Y. Lu, K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S. Miyazaki, "Low Temperature Formation of Crystalline Si:H/Ge:H Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation", 29th International Microprocesses and Nanotechnology Conference (MNC 2016) (Kyoto, November, 2016), 10C-4-3.
  100. K. Yamada, K. Kondo, M. Ikeda, K. Makihara, and S. Miyazaki, "Effect of Ge Core Size on Photoluminescence from Si Quantum Dots with Ge Core", 230th Meeting of The Electrochemical Society (ECS), (Honolulu, HI, Oct. 2-7, 2016), 27.4
  101. T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Evaluation of Dielectric Function of Thermally-grown SiO2 and GeO2 from Energy Loss Signals for XPS Core-line Photoelectrons", 230th Meeting of The Electrochemical Society (ECS), (Honolulu, USA, Oct. 2-7, 2016), G05-20-5.
  102. N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki, "Evaluation of Potential Change and Electrical Dipole in HfO2/SiO2/Si Structure", 2016 International Conference on Solid State Devices and Materials (Tsukuba, Sep. 27-29, 2016), O-4-02.
  103. K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki, "Magnetotransport Properties of FePt Alloy-NDs Stacked Structures", 2016 International Conference on Solid State Devices and Materials (Tsukuba, Sep. 27-29, 2016), D-6-02.
  104. [Invited] S. Miyazaki, D. Takeuchi, M. Ikeda, and K. Makihara, "Formation and Characterization of Si Quantum Dots with Ge Core for Functional Devices", 2016 International Conference on Solid State Devices and Materials (Tsukuba, Sep. 27-29, 2016), D-5-01.
  105. H. Zhang, K. Makihara, M. Ikeda, A. Ohta, and S. Miyazaki, "Formation of Fe3Si-Nanodots on Ultrathin SiO2 Induced by H2-plasma Treatment and Their Magnetic-Field Dependent Electron Transport Properties", Asia-Pacific Conference on Semiconducting Silicides and Related Materials (APAC-SILICIDE2016) (Fukuoka, July, 2016), 17-AM-III-3.
  106. Yusuke Kato, Akio Ohta, Mitsuhisa Ikeda, Katsunori Makihara, and Seiichi Miyazaki, "Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors", 2016 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2016), (Hakodate, Japan, July 4-6, 2016), B3-4.
  107. T. Kawase, Y. Mitsuyuki, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Electron Transport Properties of High Density FePt-NDs Stacked Structures", 7th International Symposium on Control of Semiconductor Interfaces and 8th International SiGe Technology and Device Meeting joint meeting (ISCSI-VII/ISTDM 2016) (Nagoya, June., 2016), WA2-B-3.
  108. H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki, "Determination of Energy Band Profile of Thermally-grown SiO2/4H-SiC Structure Using XPS", 7th International Symposium on Control of Semiconductor Interfaces and 8th International SiGe Technology and Device Meeting joint meeting (ISCSI-VII/ISTDM 2016) (Nagoya, June., 2016), SA1-B-4.
  109. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki, "Impact of Phosphorus Doping to Multiply-Stacking Si Quantum Dots on Electron Emission Properties", 7th International Symposium on Control of Semiconductor Interfaces and 8th International SiGe Technology and Device Meeting joint meeting (ISCSI-VII/ISTDM 2016) (Nagoya, June., 2016), WA2-B-2
  110. K. Makihara, Y. Kabeya, A. Ohta, T. Kato, A. Iwata and S. Miyazaki, "Formation and Characterization of High Density FePt Nanodots on SiO2 Induced by Remote Hydrogen", 2015 International Conference on Solid State Devices and Materials (SSDM 2015), Sappro, September 27 - 30, 2015, G-3-6.
  111. T. Arai, A. Ohta, K. Makihara and S. Miyazaki, "Impact of Embedded Mn-Nanodots on Resistive Switching Properties of Si-rich Oxides", 28th International Microprocesses and Nanotechnology Conference (MNC), Toyama, November 10-13, 2015, 11B-3-4.
  112. Y. Wen, K. Makihara, A. Ohta and S. Miyazaki, "Formation of Mn-Ge Nanodots Induced by Remote Hydrogen Plasma", 28th International Microprocesses and Nanotechnology Conference (MNC), Toyama, November 10-13, 2015, 13P-11-48.
  113. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki, "Study of Electron Field Emission from Multiply-Stacking Si Quantum Dots", 9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2016), O-09.
  114. N. Fujimura, A. Ohta, K. Makihara and S. Miyazaki, "Determination of Electron Affinity of Si-based Materials using by X-ray Photoelectron Spectroscopy", 9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2016), O-08.
  115. Y. Kato, A. Ohta, K. Makihara and S. Miyazaki, "High Density Ti Nanodots Formation and Improvement of ReRAM Characteristics by Embedding Ti Nanodots", 9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar" Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2016), P-14.
  116. T. Kawase, Y. Mitsuyuki, A. Ohta, K. Makihara, T. Kato, S. Iwata and S. Miyazaki, "Characterization of Electronic Charged States of FePt-NDs Stacked Structures by Kelvin Force Microscopy", 9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan.,2016), P-15.
  117. H. Watanabe, A. Ohta, N, Fujimura, K. Makihara, and S. Miyazaki, "Evaluation of Electronic States of Thermally-grown SiO2/4H-SiC", 9th International Workshop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2016), P-13.
  118. Y. Wang, D. Takeuchi, M. Ikeda, K. Makihara, A. Ohta, and S. Miyazaki, "Impact of Ge Capping Layer on Ta Nanodots Formation Induced by Remote Hydrogen Plasma", 9th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2016), P-12.
  119. H. Zhang, A. Ohta, K. Makihara and S. Miyazaki, "High Density Formation of Fe-silicide Nanodots Induced by Remote H2 Plasma and Characterization of Their Crystalline Structure and Magnetic Properties", The 37th International Symposium on Dry Process (DPS2015), (Awaji Island, Nov., 5-6, 2015), E-1.
  120. N. Fujimura, A. Ohta, K. Makihara and S. Miyazaki, "Evaluation of Valence Band Maximum and Electron Affinity of SiO2 and Si-based Semiconductors Using XPS", 2015 International Workshop on Dielectric Thin Films for Future Electron Devices (IWDTF), (Tokyo, Nov. 2 - 4, 2015), S4-3.
  121. Y. Kato, A. Ohta, K. Makihara and S. Miyazaki, "Formation of High Density Ti Nanodots and Evaluation of Resistive Switching Properties of SiOx-ReRAMs with Ti Nanodots", 2015 International Workshop on Dielectric Thin Films for Future Electron Devices (IWDTF), (Tokyo, Nov. 2 - 4, 2015), P-12.
  122. H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki, "Photoemission Study on Chemical Bonding Features of Thermally-grown SiO2/4H-SiC Structure", 2015 International Workshop on Dielectric Thin Films for Future Electron Devices (IWDTF), (Tokyo, Nov. 2-4, 2015), P-17.
  123. Y. Kato, T. Arai, A. Ohta, K. Makihara and S. Miyazaki, "Resistive Switching Characteristics of Si-rich Oxides with Embedding Ti Nanodots", 228th Meeting of The Electrochemical Society (ECS), (Phenix, USA, Oct. 11-16, 2015), 1106.
  124. H. Watanabe, A. Ohta, K. Makihara, and S. Miyazaki, "Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure", 228th Meeting of The Electrochemical Society (ECS), (Phenix, USA, Oct. 11-16, 2015), 16-a-1.
  125. H. Zhang, A. Ohta, K. Makihara and S. Miyazaki, "High Density Formation of Fe3Si-nanodots on ultrathin SiO2 Induced by Remote Hydrogen Plasma", The 17th Annual Conference and 6thInternational Conference of the Chinese Society of Micro-Nano Technology(CSMNT2015), Shanghai, China Oct.,11-14, 2015), 96818.
  126. Y. Wang, D. Takeuchi, K. Makihara, A. Ohta, and S. Miyazaki, "High Density Formation of Ta Nanodots Induced by Remote Hydrogen Plasma", 68th Annual Gaseous Electronics Conferences/9th International Conference on Reactive Plasmas/33rd Symposium on Plasma Processing. (Honolulu, Hawaii, USA, Oct., 2015), QR2-3.
  127. H. Zhang, A. Ohta, K. Makihara and S. Miyazaki, "High Density Formation of Fe silicide-nanodots on SiO2 Induced by Remote H2-plasma", The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials (Korea, Oct., 3-5, 2015).
  128. T. Nguyen, A. Ohta, D. Takeuchi, H. Zhang, K. Makihara and S. Miyazaki, "Impact of Remote H2 Plasma on Surface and Electronic Structures of 4H-SiC(0001)", The 21st Korea-Japan Workshop on Advanced Plasma Processes and Diagnostics & The Workshop for NU-SKKU Joint Institute for Plasma-Nano Materials (Korea, Oct., 3-5, 2015).
  129. D. Takeuchi, K. Makihara, A. Ohta and S. Miyazaki, "Characterization of Field Emission Properties from Multiply-Stacking Si Quantum Dots", JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration", (Marseille, France, July, 2015).
  130. Y. Mitsuyuki, K. Makihara, A. Ohta and S. Miyazaki, "Impact of Magnetic-Field Application on Electron Transport Through FePt-NDs Stacked Structures",JSPS International Core-to-Core Program Workshop, "Atomically Controlled Processing for Ultra-large Scale Integration" (Marseille, France, July., 2015).
  131. K. Kondo, K. Makihara, A. Ohta and S. Miyazaki, "Effect of P-doping on Photoluminescence Properties of Si Quantum Dots with Ge Core", 2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2015), (Jeju, Korea, June 29-July 1, 2015), 8A-3.
  132. Y. Mitsuyuki, K. Makihara, A. Ohta and S. Miyazaki, "Electron Transport Properties of High Density FePt-NDs Stacked Structures", 2015 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2015) (Jeju, Korea, June 29-July 1, 2015).
  133. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Impact of Phosphorus Doping to Multiply-Stacking Si Quantum Dots on Electron Field Emission Properties", The 9th International Conference on Silicon Epitaxy and Heterostructures (ICSI-9), (Montreal, Canada, May 17 - 22, 2015).
  134. H. Zhang, K. Makihara, A. Ohta and S. Miyazaki, "Formation and Characterization of High Density FeSi Nanodots on SiO2 Induced by Remote H2 Plasma" 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nano materials / 8th International Conference on Plasmas-Nano Technology & Science (ISPlasma2015 / IC-PLANTS2015), (Nagoya, Mar., 26-31, 2015), C1-P-84L.
  135. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Characterization of Electron Field Emission from High Density Self-Aligned Si-Based Quantum Dots", 7th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nano materials / 8th International Conference on Plasmas-Nano Technology & Science (ISPlasma2015 / IC-PLANTS2015), (Nagoya, Mar., 26-31, 2015), C1-P-85L.
  136. T. Yamada, K. Makihara, M. Ikada and S. Miyazaki, "Characterization of Electroluminescence from Multiply-Stack of Doped Si Quantum Dots", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), O-02.
  137. D. Takeuchi, K. Makihara, A. Ohta, M. Ikada and S. Miyazaki, "Characterization of Electron Field Emission from High Density Self-aligned Si-based Quantum Dots", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), O-03.
  138. A. Ohta, H. Murakami, K. Makihara and S. Miyazaki, "Impact of Post Metallization Annealing on Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), O-07.
  139. H. Zhang, K. Makihara, A. Ohta, M. Ikada and S. Miyazaki, "High Density Formation of Fe-Silicide Nanodots Induced by Remote Hydrogen Plasma", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), O-13.
  140. Y. Wen, K. Makihara, A. Ohta and S. Miyazaki, "High Density Formation of Mn-Ge Nanodots Induced by Remote Hydrogen Plasma", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), P-03.
  141. Y. Kabeya, H. Zhang, A. Ohta, K. Makihara and S. Miyazaki, "Impact of Magnetic-Field Application on Electron Transport Through FePt Alloy Nanodots", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), P-05.
  142. Y. Mitsuyuki, Y. Kabeya, K. Makihara, T. Kato, S. Iwata and S. Miyazaki, "Formation and Characterization of High Density FePt Alloy Nanodots Induced by Remote Hydrogen Plasma", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), P-06.
  143. T. Nguyen, A. Ohta, D. Takeuchi, H. Zhang, K. Makihara and S. Miyazaki, "Impact of Remote Hydrogen Plasma on Micro-roughness and Electronic States at 4H-SiC(0001) Surface", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), P-08.
  144. K. Kondo, K. Makihara and S. Miyazaki, "Photoluminescence Properties of Si Quantum Dots with Ge Core", 8th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Jan., 2015), P-09.
  145. [Invited]K. Makihara and S. Miyazaki, "Plasma-enhanced Self-assembling Formation of High-density Metallic Nanodots on Ultrathin SiO2", Nagoya University (NU) & Sungkyunkwan University (SKKU) Joint Symposium 2014, Suwon, Korea, November 26-27.
  146. [Invited]K. Makihara, T. Yamada, K. Kondo and S. Miyazaki, "Luminescence Studies of High Density Si-based Quantum Dots", JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration", Leuven, Belgium, November 12-13, 2014, 4.3.
  147. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Study of Electron Field Emission from High Density Self-aligned Si-based Quantum Dots", JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration", Leuven, Belgium, November 12-13, 2014, P5.1.
  148. H. Zhang, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "High Density Formation of Fe-Silicide Nanodots on SiO2 Induced by Remote H2 Plasma", 27th International Microprocesses and Nanotechnology Conference (MNC), Fukuoka, November 4-7, 2014, 5C-2-4.
  149. A. Ohta, H. Murakami, K. Hashimoto, K. Makihara and S. Miyazaki, "Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack", 226th Meeting of The Electrochemical Society (ECS), Cancun, Mexico, October 6-9, 2014, 1785.
  150. K. Makihara, K. Kondo, M. Ikeda, A. Ohta and S. Miyazaki, "Photoluminescence Study of Si Quantum Dots with Ge Core", 226th Meeting of The Electrochemical Society (ECS), Cancun, Mexico, October 6-9, 2014, 1795.
  151. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, and S. Miyazaki, "Characterization of Electron Emission from High Density Self-aligned Si-Based Quantum Dots by Conducting-Probe Atomic Force Microscopy", 226th Meeting of The Electrochemical Society (ECS), Cancun, Mexico, October 6-9, 2014, 1850.
  152. T. Yamada, K. Makihara, M. Ikeda and S. Miyazaki, "Electroluminescence from Multiply-Stack of Doped Si Quantum Dots", 2014 International Conference on Solid State Devices and Materials (SSDM 2014), Tsukuba, September 8 - 11, 2014, B-1-3.
  153. A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara and S. Miyazaki, "Characterization of Resistance-Switching of Ni Nano-dot/SiOx/Ni Diodes", International Union Material Research Society - International Conference in Asia 2014 (IUMRS-ICA 2014), Fukuoka, Aug. 24-30, 2014, D5-O25-008.
  154. Y. Wen, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "High Density Formation of Mn and Mn-germanide Nanodots Induced by Remote Hydrogen Plasma", International Union Material Research Society - International Conference in Asia 2014 (IUMRS-ICA 2014), Fukuoka, Aug. 24-30, 2014, D5-P26-003.
  155. Y. Kabeya, H. Zhang, R. Fukuoka, A. Ohta, K. Makihara and S. Miyazaki, "Impact of Magnetic-Field Application on Electron Transport Through CoPt Alloy Nanodots", International Union Material Research Society - International Conference in Asia 2014 (IUMRS-ICA 2014), Fukuoka, Aug. 24-30, 2014, D5-P26-004.
  156. K. Makihara, R. Fukuoka, H. Zhang, A. Ohta, Y. Tokuda, T. Kato, S. Iwata, and S. Miyazaki, "Crystalline Structure and Magnetic Properties of FePt Alloy Nanodots", International Union Material Research Society - International Conference in Asia 2014 (IUMRS-ICA 2014), Fukuoka, Aug. 24-30, 2014, D5-P26-005.
  157. T. Arai, C. Liu, A. Ohta, K. Makihara and S. Miyazaki, "Local Electrical Properties of Si-rich Oxides with Embedding Mn-nanodots by Atomic Force Microscopy Using Conducting-Probe", International Union Material Research Society - International Conference in Asia 2014 (IUMRS-ICA 2014), Fukuoka, Aug. 24-30, 2014, D5-P26-006.
  158. T. Nguyen, H. Zhang, D. Takeuchi, A. Ohta, K. Makihara, H. Murakami, and S. Miyazaki, "Impact of Remote H2 Plasma on Surface Roughness of 4H-SiC(0001)", International Union Material Research Society - International Conference in Asia 2014 (IUMRS-ICA 2014), Fukuoka, Aug. 24-30, 2014, D5-P26-016.
  159. A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara and S. Miyazaki, "Resistance-Switching Characteristics of Si-rich Oxide as Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements", 2014 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2014), (Kanazawa, Ishikawa, July, 2014) 6B-4.
  160. T. Arai, C. Liu, A. Ohta, K. Makihara and S. Miyazaki, "Impact of Embedded Mn-Nanodots on Resistive Switching in Si-rich Oxides", 2014 International SiGe Technology and Device Meeting (ISTDM2014), (Singapore, June, 2014) P36.
  161. K. Makihara, N. Tsunekawa, M. Ikeda and S. Miyazaki, "Characterization of electronic charged states of self-aligned coupled Si quantum dots by AFM/KFM Probe Technique", 2014 International SiGe Technology and Device Meeting (ISTDM2014), (Singapore, June, 2014) P37.
  162. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Selective Crystallization and Metallizatioin of a-Ge:H Thin Films by Pt-coating and Exposing to Remote H2 Plasma", 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2014), (Nagoya, Mar., 2014) 5aB03O.
  163. Y. Lu, K. Makihara, D. Takeuchi, K. Sakaike, M. Akazawa, S. Higashi and S. Miyazaki, "Study on Si/Ge Heterodtructures Formed by PECVD in Combination with Ni-Nds Seeding Nucleation", 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2014), (Nagoya, Mar., 2014) 05pP51.
  164. H. Zhang, K. Makihara, R. Fukuoka, Y. Kabeya and S. Miyazaki, "Study on Formation of High Density Fe-Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma Exposure", 6th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2014), (Nagoya, Mar., 2014) 06aP20.
  165. R. Fukuoka, H. Zhang, K. Makihara, Y. Tokuoka, T. Kato, S. Iwata and S. Miyazaki, "High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of Their Magnetic Properties", 7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2014), P-05.
  166. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, "Characterization of Local Electronic Transport through Si-Nanocrystals/ Si-Nanocolumnar Structures by Non-contact Conductive Atomic Force Microscopy", 7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2014), P-06.
  167. Y. Suzuki, K. Makihara, M. Ikeda and S. Miyazaki, "Impact of Pulsed Bias Application on Electroluminescence Properties from One-dimensionally Self-Aligned Si-based Quantum Dots", 7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2014), P-07.
  168. T. Arai, C. Liu, A. Ohta, K. Makihara and S. Miyazaki, "Evaluation of Chemical Bonding Features and Resistive Switching in TiOx/SiOx Stack in Ti Electrode MIM Diode", 7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2014), P-08.
  169. T. Yamada, K. Makihara, Y. Suzuki, M. Ikeda and S. Miyazaki, "Electroluminescence from Multiply-Stacking B-doped Si Quantum Dots", 7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2014), P-09.
  170. Y. Kabeya, H. Zhang, R. Fukuoka, K. Makihara and S. Miyazaki, "Formation of High-Density Magnetic Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma", 7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2014), P-10.
  171. K. Makihara and S. Miyazaki, "Alignment Control and Electrical Coupling of Si-based Quantum Dots", 7th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2014), O-6.
  172. R. Fukuoka, H. Zhang, K. Makihara, Y. Tokuoka, T. Kato, S. Iwata and S. Miyazaki, "High density formation of FePt alloy nanodots on SiO2 induced by remote hydrogen plasma", Magnetics and Optics Research International Symposium (MORIS2013), Omiya, December 2 - 5, We-P-07.
  173. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "Characterization of Electron Emission from Si-Nanocrystals/Si-Nanocolumnar Structures by Non-contact Conductive Atomic Force Microscopy", 12th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-12) and 21st International Colloquium on Scanning Probe Microscopy (ICSPM21), Tsukuba, November 4 - 8, 2013, 8PN-48.
  174. [Invited]K. Makihara and S. Miyazaki, "Formation of One-Dimensionally Self-Aligned Si-Based Quantum Dots and Its Application to Light Emitting Diodes", 26th International Microprocesses and Nanotechnology Conference (MNC), (Hokkaido, Nov., 2013), 6D-3-1.
  175. S. Miyazaki, K. Makihara and M. Ikeda, "Characterization of Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application" JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration", Frankfurt (Oder) Germany, October 24 - 25, 2013, 5-2.
  176. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "Study on Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conductive-Probe Atomic Force Microscopy" JSPS Core-to-Core Program Workshop "Atomically Controlled Processing for Ultralarge Scale Integration", Frankfurt (Oder) Germany, October 24 - 25, 2013, 5-3.
  177. A. Ohta, K. Makihara, M. Fukusima, H. Murakami, S. Higashi, and S Miyazaki, "Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-Electrode Mim Diodes", 224th The Electrochemical Scociety (ECS) Meeting, San Francisco, California , USA, October 27- November 1, 2013, 2247.
  178. Y. Suzuki, K. Makihara, M. Ikeda and S. Miyazaki, "Transient Characteristics of Electroluminescence from Self-aligned Si-based Quantum Dots", 2013 International Conference on Solid State Devices and Materials (SSDM 2013), Fukuoka, September 24 - 27, 2013, PS-7-21.
  179. H. Niimi, K. Makihara, M. Ikeda and S. Miyazaki, "Characterization of Electron Transport Through Ultra High Density Array of One-dimensionally Self-Aligned Si-based Quantum Dots", 2013 International Conference on Solid State Devices and Materials (SSDM 2013), Fukuoka, September 24 - 27, 2013, E-2-2.
  180. H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara and S. Miyazaki, "Formation of High Density Fe-Nanodots on Ultrathin SiO2 Induced by Remote H2 Plasma", 2013 International Symposium on Dry Process (DPS 2013), Jeju, Korea, August 29 - 30 2013, P-37.
  181. Y. Lu, K. Makihara, D. Takeuchi, K. Sakaike, M. Akazawa, M. Ikeda, S. Higashi and S. Miyazaki, "Low Temperature Formation of Crystalline Si/Ge Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation", The 25th International Conference on Amorphous and Nano-crystalline Semiconductors (ICANS 25), Toronto, Ontario Canada, August 18 - 23, 2013, We-A2.2.
  182. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "High-Sensitive Detection of Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy", 2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2013), Seoul, Korea, June 26 - 28, 2013, 7A-4.
  183. K. Makihara, M. Ikeda and S. Miyazaki, "Selective Growth of Self-Assembling Si and SiGe Quantum Dots", 2013 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2013), Seoul, Korea, June 26 - 28, 2013, 8B-2.
  184. [Invited]S. Miyazaki, K. Makihara and M. Ikeda, "Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Devices", JSPS Core-to Core Program Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" , Fukuoka, June 6, C1-4.
  185. K. Makihara, H. Takami, Y. Suzuki, M. Ikeda, S. Miyazaki, "Characterization of Electroluminescence from Self-Aligned Si-Based Quantum Dots Stack by Intermittent Bias Application", The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) , Fukuoka, June 3-7, 2013, A3-3.
  186. T. Yamada, K. Makihara, H. Takami, Y. Suzuki, M. Ikeda and S. Miyazaki, "Characterization of Electroluminescence from Multiply-Stacked B-doped Si Quantum Dots", The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) , Fukuoka, June 3-7, 2013, P1-31.
  187. R. Fukuoka, H. Zhang, Y. Kabeya, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "High Density Formation of CoPt Alloy Nanodots Induced by Remote H2 Plasma", The 8th International Conference on Silicon Epitaxy and Heterostructures (ICSI-8) and the 6th International Symposium on Control of Semiconductor Interfaces (ISCSI-VI) , Fukuoka, June 3-7, 2013, P2-37.
  188. H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara and S. Miyazaki, "High Density Formation of Iron Nanodots on SiO2 Induced by Remote Hydrogen Plasma", 3rd International Conference on Advanced Engineering Materials and Technology (AEMT 2013) , Zhangjiajie, China, May 11-12, 2013, AE8668.
  189. [Invited]K. Makihara and S. Miyazaki, "High-density Formation and Characterization of Nanodots for Their Electron Device Application", 6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2013), A-2.
  190. S. Miyazaki, K. Makihara, M. Ikeda and H. Murakami, "Electronic and Optoelectronic Response of Hybrid Nanodots Floating Gate MOS Devices", 6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2013), 6.
  191. H. Takami, K. Makihara, M. Ikeda and S. Miyazaki, "Electroluminescence Study of Self-aligned Si-based Quantum Dots", 6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2013), 7.
  192. Y. Suzuki, K. Makihara, H. Takami, M. Ikeda and S. Miyazaki, "Transient Characteristics of Electroluminescence from Self-aligned Si-based Quantum Dots", 6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2013), 8.
  193. N. Tsunekawa, K. Makihara, M. Ikeda and S. Miyazaki, "Spatially-controlled Charge Storage and Charge Dispersion in High Density Self-aligned Si-based Quantum Dots", 6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2013), 9.
  194. R. Fukuoka, H. Zhang, Y. Kabeya, K. Makihara, A. Ohta and S. Miyazaki, "High Density Formation and Characterization of CoPt Alloy Nanodots as Memory Nodes", 6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2013), 10.
  195. M. Fukusima, A. Ohta, K. Makihara and S. Miyazaki, "Characterization of Resistive Switching of Si-rich Oxides", 6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2013), 11.
  196. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "Characterization of Electron Emission from Si-Nanocrystals/Si-Nanocolumnar Structures by Conductive-Probe Atomic Force Microscopy", 6th International WorkShop on New Group IV Semiconductor Nanoelectronics and JSPS Core-to Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration" (Sendai, Feb., 2013), 12.
  197. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "Study on Electronic Emission through Ultrathin Au/High-Dense Si-Nanocolumnar Structures Accompanied with Si-Nanocrystals by Conductive Atomic Force Microscopy", The 6th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2013), (Gero, Feb., 2013) P-G03.
  198. M. Fukusima, A. Ohta, K. Makihara and S. Miyazaki, "Evaluation of Resistance-Switching Behaviors and Chemical Bonding Features of Si-rich Oxide ReRAMs with TiN Electrode", The 6th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2013), (Gero, Feb., 2013) P-G01.
  199. K. Makihara, J. Gao, D. Takeuchi, K. Sakaike, S. Hayashi, M. Ikeda, S. Higashi, and S. Miyazaki, "Highly-crystallized Ge:H Film Growth from GeH4 Very High Frequency Inductively-coupled Plasma -Crystalline Nucleation Initiated by Ni-nanodots-", 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), (Nagoya, Jan.-Feb., 2013) P2016A.
  200. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "Characterization of Electronic Emission Through Au/Si-Nanocolumnar Structures by Conductive-Probe Atomic Force Microscopy", 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), (Nagoya, Jan.-Feb., 2013) P4051C.
  201. R. Fukuoka, K. Makihara, M. Ikeda and S. Miyazaki, "Charging and Magnetizing Characteristics of Co Nanodots Formed by Remote H2-Plasma Induced Migration", 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), (Nagoya, Jan.-Feb., 2013) P4052C.
  202. A. Ohta, M. Fukusima, K. Makihara, S. Higashi ands. Miyazaki, "Resistive Switching of Si-rich Oxide Dielectric with Ti based Electrodes", 5th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2013), (Nagoya, Jan.-Feb., 2013) P1089C.
  203. K. Makihara, M. Fukushima, A. Ohta, M. Ikeda and S. Miyazaki, "Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes", 222nd Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing and Device Symposium (Honolulu, HI, Oct., 2012) 3154.
  204. J. Gao, K. Makihara, M. Ikeda, S. Hayashi, K. Sakaike, S. Higashi, S. Miyazaki, "Impact of Ni-nanodots on Crystalline Ge:H Film Growth from GeH4 Very High Frequency Inductively-Coupled Plasma", 11th Asia-Pacific Conference on Plasma Science and Technology and 25th Symposium on Plasma Science for Materials (APCPST & SPSM), (Kyoto, Oct., 2012) 3A-O15, p. 64.
  205. N. Tsunekawa, K. Makihara, M. Ikeda and S. Miyazaki, "Temporal Changes of Charge Distribution in High Density Self-Aligned Si-Based Quantum Dots as Evaluated by AFM/KFM", International Union Materials Research Societies - International Conference on Electronic Materials 2012 (IUMRS-ICEM 2012), Yokohama, Sep. 23-28, 2012, B-1-027-009, p.51.
  206. H. Takami, K. Makihara, M. Ikeda, and S. Miyazaki, "Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots", 2012 International Conference on Solid State Devices and Materials (SSDM), (Kyoto, Sept., 2012) A-2-3.
  207. S. Miyazaki, K. Makihara, M. Ikeda"Charge Storage and Optoelectronic Response of Silicide-Nanodots/Si-Quantum-Dots Hybrid-Floating-Gate MOS Devices", University of Vigo and JSPS Core-to-Core Program Joint Seminar "Atomically Controlled Processing for Ultralarge Scale Integration", Spain, Sept.4-6,2012
  208. A. Ohta, K. Makihara, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki "Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior", 2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012), Naha, June 27 - 29, 2012, 5A-2.
  209. M. Fukusima, A. Ohta, K. Makihara and S. Miyazaki "Characterization of Resistive Switching of Pt/Si-rich Oxide/TiN System" 2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012), Naha, June 27 - 29, 2012, 5A-3.
  210. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi "Characterization of Local Electronic Transport through Ultrathin Au/Highly-dense Si Nanocolumar structures by Conducting-Probe Atomic Force Microscopy", 2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012), Naha, June 27 - 29, 2012, 5A-5.
  211. M. Ikeda, K. Makihara and S. Miyazaki "Photoexcited Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in MOS Structures" 2012 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2012), Naha, June 27 - 29, 2012, 5A-6.
  212. K. Makihara, J. Gao, K. Sakaike, S. Hayashi, H. Deki, M. Ikeda, S. Higashi and S. Miyazaki "Highly-crystallized Ge:H Film Growth from GeH4 VHF-ICP -Crystalline Nucleation Initiated by Ni-nanodots-", 2012 International SiGe Technology and Device Meeting (ISTDM2012), (Berkeley, CA, June, 2012) pp. 138-139.
  213. K. Makihara, C. Liu, M. Ikeda and S. Miyazaki, "Study of Electron Transport Characteristics Through Self-Aligned Si-Based Quantum Dots", 2012 International SiGe Technology and Device Meeting (ISTDM2012), (Berkeley, CA, June, 2012) pp. 182-183.
  214. K. Makihara, H. Deki, M. Ikeda and S. Miyazaki, "Evaluation of Charge Trapping Properties of Microcrystalline Germanium Thin Films by Kelvin Force Microscopy", The 5th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2012), (Inuyama, Mar., 2012) P-64.
  215. K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki, "Formation of PtAl-Alloy Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma", The 5th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2012), (Inuyama, Mar., 2012) P-65.
  216. M. Ikeda, K. Makihara, A. Ohta and S. Miyazaki, "Formation of High Density Ge Quantum Dots and Their Electrical Properties", The 5th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2012), (Inuyama, Mar., 2012) P-63.
  217. A. Ohta, K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota, "X-ray Photoemission Study of SiO2/Si/SiGe Heterostructures on Si(100)", The 5th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2012), (Inuyama, Mar., 2012) P-67.
  218. K. Makihara, H. Deki, M. Ikeda and S. Miyazaki, "Formation of One-Dimensionally Self-Aligned Si-based Quantum Dots on Untrathin SiO2 and Its Application to Light Emitting Diodes", 4th International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2012), (Kasugai, Mar., 2012) P2105C.
  219. K. Makihara, M. Ikeda, A. Ohta, R. Ashihara, S. Higashi and S. Miyazaki, "Formation of PtAl Nanodots Induced by Remote Hydrogen Plasma", 15th International Conference on Thin Films (ICTF-15), (Kyoto, Nov. 2011) P-S2-27.
  220. J. Gao, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "Evaluation of Electronic Properties of Pillar-shaped Si Nanostructures by Conductive Atomic Force Microscopy", 15th International Conference on Thin Films (ICTF-15), (Kyoto, Nov. 2011) P-S2-28.
  221. S. Miyazaki, K. Makihara, A. Ohta and M. Ikeda, "Electrical Charging Characteristics of Hybrid Nanodots Floating Gates in MOS Devices", 15th International Conference on Thin Films (ICTF-15), (Kyoto, Nov. 2011) P-S2-26.
  222. K. Makihara, H. Deki, M Ikeda and S, Miyazaki, "Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots with High Areal Dot Density", 2011 International Conference on Solid State Devices and Materials (SSDM), (Nagoya, Sept., 2011) I-8-1.
  223. K. Makihara, H. Deki, M Ikeda and S, Miyazaki, "Local Electrical Properties of Microcrystalline Germanium Thin Films By Kelvin Force Microscopy", 24rd International Conference on Amorphous and Nanocrystalline Semiconductor (ICANS 24), (Nara, Aug., 2011) 1C2-5, p. 44.
  224. K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki, "Electrical Characterization of NiSi-NDs/Si-QDs Hybrid Stacked Floating Gate in MOS Capacitors", 2011 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD 2011), Daejeon, Korea, June 29 - July 12, 2011, 1A.11.
  225. K. Makihara, N. Morisawa, M. Ikeda, K. Matsumoto, M. Yamane, S. Higashi and S. Miyazaki, "Electrical Charging Characteristics of Pt-Nanodots Floating Gate in MOS Capacitors", The 4th International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2011), (Takayama, Mar., 2011) P-36.
  226. K. Makihara, T. Matsumoto, T. Fujioka, M. Ikeda and S. Miyazaki, "Formation of Pt-germanide from Pt/a-Ge:H by Remote Hydrogen Plasma Exposure", 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2011), (Nagoya, Mar., 2011) P2-053C, p.123.
  227. M. Yamane, M. Ikedam R. Matsubara, Y. Nishida, K. Makihara, S. Higash and S. Miyazaki, "Formation of High Density PtSi Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Atmospheric Pressure DC Arc Discharge Micro-Thermal Plasma Jet", 3rd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2011), (Nagoya, Mar., 2011) P4-070C, p.210.
  228. K. Makihara, K. Matsumoto, T. Okada, N. Morisawa, M. Ikeda, S. Higashi and S. Miyazaki, "Formation of High Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Thermal Plasma Jet", International Symposium on Dry Process (DPS2010), (Tokyo, Nov., 2010).
  229. A. Ohta, Y. Goto, G. Wei, K. Makihara, H. Murakami, S. Higashi, and S. Miyazaki, "The Impact of Y2O3 Addition into TiO2 on Electronic States and Resistive Switching Characteristics", 23rd International Microprocesses and Nanotechnology Conference (MNC), (Fukuoka, Nov., 2010). 11B-6-2
  230. S. Otsuka, R. Takeda, T. Shimizu, S. Shingubara, K. Makihara, S. Miyazaki, T. Watanabe, Y. Takano and K. Takase, "Geometry Dependencies of Switching Characteristics of Anodic Porous Alumina for ReRAM", 23rd International Microprocesses and Nanotechnology Conference (MNC), (Fukuoka, Nov., 2010). 12D-11-60
  231. K. Makihara, M. Ikeda, H. Deki, A. Ohta and S. Miyazaki, "Self-Align Formation of Si Quantum Dots", 218th Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing and Device Symposium (Las Vegas, Nevada, Oct., 2010) 12. 3.
  232. T. Matsumoto, S. Higashi, K. Makihara, M. Akazawa and S. Miyazaki, "Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Microliquid Ge Melt", 218th Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing and Device Symposium, (Las Vegas, Nevada, Oct., 2010) 4. 08.
  233. K. Makihara, Y. Miyazaki, T. Fujioka, T. Matsumoto, M. Ikeda and S. Miyazaki, "Formation of Pt-germanide from Pt/a-Ge:H by Remote Hydrogen Plasma Treatment at Atmosphere Temperature", 7th International Conference on Reactive Plasmas / 28th Symposium on Plasma Processing / 63rd Gaseous Electronics Conference (ICRP-7 / SPP-28 / GEC-63), (Paris, France, Oct., 2010).
  234. M. Ikeda, S. Nakanishi, N. Morisawa, A. Kawanami, K. Makihara and S. Miyazaki, "Multistep Electron Injection in a PtSi-Nanodots/Silicon-Quantum-Dots Hybrid Floating Gate in nMOSFETs", 2010 International Conference on Solid State Devices and Materials (SSDM), (Tokyo, Sept., 2010) P-9-10.
  235. S. K. Sahari, H. Murakami, T. Fujioka, T. Bando, A. Ohta, K. Makihara, S. Higashi and S. Miyazaki, "Study on Native Oxidation of Ge (111) and (100) Surfaces", 2010 International Conference on Solid State Devices and Materials (SSDM), (Tokyo, Sept., 2010), P-1-13.
  236. M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi, T. Endoh, "Collective Tunneling Model in Charge Trap Type NVM Cell", 2010 International Conference on Solid State Devices and Materials (SSDM), (Tokyo, Sept., 2010), E-3-2.
  237. M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta and T. Endoh, "Collective Tunneling Model between Two-Dimensional Electron Gas to Si-Nano-Dot", 30th International Conference on the Physics of Semiconductors (ICPS2010), (Seoul, Korea, July, 2010), P2-105
  238. K. Makihara, R. Ashihara, M. Ikeda, A. Ohta, N. Morisawa, T. Fujioka, H. Murakami and S. Miyazaki, "Formation of PtAl Nanodots Induced by Remote Hydrogen Plasma", International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE), (Tokyo, June, 2010) p. 73.
  239. S. Miyazaki, M. Ikeda, K. Makihara, H. Murakami and S. Higashi, "Formation and Characterization of Hybrid Nanodots Stack Structure and Its Application to Floating Gate Memories", International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE), (Tokyo, June, 2010) p. 25.
  240. M. Ikeda, S. Nakanishi, N. Morisawa, A. Kawanami, K. Makihara and S. Miyazaki, "Multistep Electron Injection in PtSi-Nanodots/Silicon-Quantum-Dots Hybrid Floating Gate in MOS Structures", International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE), (Tokyo, June, 2010) p. 76.
  241. N. Morisawa, M. Ikeda, K. Makihara and S. Miyazaki, "Optical Response of Si-Quantum-Dots/NiSi-Nanodots Stack Hybrid Floating Gate in MOS Structures", International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE), (Tokyo, June, 2010) p. 78.
  242. M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi and T. Endoh, "Collective Electron Tunneling Model in Si-Nano Dot Floating Gate MOS Structure", International Symposium on Technology Evoluation for Silicon Nano-Electronics (ISTESNE), (Tokyo, June, 2010) p. 75.
  243. G. Wei, Y. Goto, A. Ohta, K. Makihara, H. Murakami, S. Higashi and S. Miyazaki, "The Impact of H2 Anneal on Resistive Switching in Pt/TiO2/Pt Structure", 2010 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2010), (Tokyo, June, 2010) 2A.3.
  244. K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki, "High Density Formation of Ge Quantum Dots on SiO2", 5th International SiGe Technology and Device Meeting (ISTDM2010), (Stockholm, Sweden, May, 2010) 1910255.
  245. A. Ohta, K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota, "Determination of Valence Band Alignment in SiO2/Si/Si0.55Ge0.45/Si(100) Heterostructures", 5th International SiGe Technology and Device Meeting (ISTDM2010), (Stockholm, Sweden, May, 2010) 1910265.
  246. N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki, "Optical Response of Si-Quantum-Dots/NiSi-Nanodots Hybrid Stacked Floating Gate", International Meeting for Future of Electron Devices, Kansai, (IMFEDK), (Osaka, May, 2010) PA-5, pp. 76-77.
  247. K. Makihara, A. Kawanami, M. Ikeda, R. Ashihara and S. Miyazaki, "Charging and magnetizing Characteristics of Co Nanodots", The 3rd International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2010), (Nagoya, Mar., 2010) P-50.
  248. Y. Miyazaki, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Selective Crystallization and Etching of a-Ge:H Thin Films by Exposing to Remote H2 Plasma", 2nd International Symposium on Advanced Plasma Science and its Applications for Nitrides and Nanomaterials (ISPlasma2010), (Nagoya, Mar., 2010) PB04C, p.218.
  249. S. Miyazaki, N. Morisawa, S. Nakanishi, K. Makihara and M. Ikeda, "Formation of Hybrid Nanodots Floating Gate for Functional Memories–Charge Storage Characteristics and Optical Response–", 5th International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2010), (Sendai, Jan., 2010) I-17, pp. 77-78.
  250. A. Ohta, K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota, "Evaluation of Valence Band Offsets for SiO2/Si/SiGe0.5/Si Heterostructures Using by X-ray Photoelectron Spectroscopy", 5th International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2010), (Sendai, Jan., 2010) P-20, pp. 57-58.
  251. S. Miyazaki, N. Morisawa, S. Nakanishi, A. Kawanami, M. Ikeda and K. Makihara, "Charge Storage and Optical Response of Hybrid Nanodots Floating Gate For Functional Memories", 2009 MRS Fall Meeting, (Boston, MA, Nov., 2009) O12.5.
  252. M. Ikeda, S. Nakanishi, M. Morisawa, K. Makihara and S. Miyazaki, "Charge Injection Characteristics of NiSi-Nanodots/Silicon-Quantum-Dots Hybrid Floating Gate in MOS Structures", 2009 International Microprocesses and Nanotechnology Conference (MNC2009), (Sapporo, Nov., 2009) 19D-10-17, pp. 540-541.
  253. K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki, Impact of Surface Pre-Treatment on Metal Migration Induced by Remote H2-Plasma Treatment, 2009 International Microprocesses and Nanotechnology Conference (MNC2009), (Sapporo, Nov., 2009) 18D-7-66, pp. 286-287.
  254. M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi and T. Endoh, "New Tunneling Model with Dependency of Temperature Measured in Si Nano-Dot Floating Gate MOS Capacitor", 2009 International Conference on Solid State Devices and Materials (SSDM), (Sendai, Oct., 2009) K-2-1, pp.274-275.
  255. N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki, "Light Induced Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid FG in MOS Structure", 2009 International Conference on Solid State Devices and Materials (SSDM), (Sendai, Oct., 2009) K-2-2, pp.276-277.
  256. A. Kawanami, K. Makihara, M. Ikeda and S. Miyazaki, "Formation of Cobalt and Cobalt-silicide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma", International Symposium on Dry Process (DPS2009), (Busan, Korea, Sept., 2009) 7-4, pp. 251-252.
  257. S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto, "Formation of High Density Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Memory Application", International Conference on Processing and Manufacturing of Advanced Materials, Pricessing, Fabrication, Proreties, Applications (THERMEC’2009), (Berlin, Germany, Aug. 2009) SESSION E5, p. 115.
  258. K. Makihara, Y. Miyazaki, T. Okada, H. Kaku, K. Shimanoe, A. Ohta, M Ikeda, S, Higashi and S, Miyazaki, "Selective Crystallization of a-Ge:H Thin Films by Pt-coating and Exposing to Remote H2 Plasma", 23rd International Conference on Amorphous and Nanocrystalline Semiconductor (ICANS 23), (Utrecht, Nethelands, Aug., 2009) ID 436, p. 360.
  259. T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige and S. Miyazaki, "Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation", 23rd International Conference on Amorphous and Nanocrystalline Semiconductor (ICANS 23), (Utrecht, Nethelands, Aug., 2009) ID 444, p. 365.
  260. M. Muraguchi, T. Endoh, Y. Takada, Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, and Y. Shigeta, "Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices", 14th International Conference on Modulated Semiconductor (MSS-14), (Kobe, July, 2009) Tu-mP22.
  261. Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, "Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots", The 18th International Conference on Electronic Properties of Two-Dimensional Systems (EP2DS-18), (Kobe, July, 2009) Mo-eP49.
  262. H. Murakami, S. Mahboob, K. Katayama, K. Makihara, M. Ikeda, Y. Hata, A. Kuroda, S. Higashi and S. Miyazaki, "Electrical Detection of Silicon Binding Protein-Protein A using a p-MOSFET Sensor", 2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2009), (Busan, Korea, June, 2009) 2B-8.
  263. K. Makihara, M. Ikeda, A. Kawanami and S. Miyazaki, "Random Telegraph Signals in Two-Dimensional Array of Si Quantum Dots", 2009 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2009), (Busan, Korea, June, 2009) 3A-6.
  264. K. Makihara, K. Shimanoe, A. Kawanami, A. Fujimoto, M. Ikeda, S. Higashi and S. Miyazaki, "Formation Mechanism of Metal Nanodots Induced by Remote Plasma Exposure", The European Materials Research Society (E-MRS) 2009 Spring Meeting, (Strasbourg, France, June, 2009) Q8-19.
  265. S. Nakanishi, M. Ikeda, K. Shimanoe, K. Makihara, A. Kawanami, N. Morisawa, A. Fujimoto, S. Higashi and S. Miyazaki, "Electrical Charging Characteristics of NiSi-Nanodots Floating Gate", International Meeting for Future of Electron Devices, Kansai (IMFEDK), (Osaka, May, 2009) C-5, pp. 62-63.
  266. M. Muraguchi, T. Endoh, Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki and Y. Shigeta, "New Insight into Tunneling Process between Quantum Dot and Electron Gas", America Physical Society 2009 March Meeting, (Pittsburg, Mar., 2009) V11-11.
  267. Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, M. Ikeda, K. Makihara and S. Miyazaki, "Temperature Dependence of Electron Tunneling between Quantum Dots and Electron Gas", America Physical Society 2009 March Meeting, (Pittsburg, Mar., 2009) V11-10.
  268. Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, M. Ikeda, K. Makihara and S. Miyazaki, "Temperature Dependence of Electron Tunneling from Two Dimensional Electron Gas to Quantum Dots", The Second International Symposium on Interdisciplinary Materials Science (ISIMS-2009), (Tsukuba, Mar., 2009) P-023.
  269. A. Kawanami, K. Shimanoe, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Impact of Remote Plasma Treatment on Formation of Metal Nanodots on Ultrathin SiO2", The 2nd International Conference on Plasma-Nano Technology & Science (ICPLANTS), (Nagoya, Jan., 2009) P-09.
  270. Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, M. Ikeda, K. Makihara and S. Miyazaki, "Temperature Dependence of Electron Transport between Quantum Dots and Electron Gas", International Symposium on Nanoscale Transport and Technology, (Kanagawa, Jan., 2009) PTu-09.
  271. S. Nomura, Y. Sakurai, Y. Takada, M. Muraguchi, T. Endoh, M. Ikeda, K. Makihara, S. Miyazaki and K. Shiraishi, Capacitance measurements on quantum dots coupled to a two-dimensional electron system, 13th Advanced Heterostructures and Nanostructures Workshop, (Hawaii, Dec., 2008) Quantum Dot I-5, p8.
  272. M. Muraguchi, Y. Takada, Y. Sakurai, T. Endoh, S. Nomura, M. Ikeda, K. Makihara, S. Miyazaki and K. Shiraishi, Theoretical investigation of quantum dot coupled to a two-dimensional electron system, 13th Advanced Heterostructures and Nanostructures Workshop, (Hawaii, Dec., 2008) Quantum Dot I-4, p8.
  273. K. Shimanoe, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, Metal Nanodots Formation Induced by Remote Plasma Treatment -Comparison between the effects of H2 and rare gas plasmas-, International Union Material Research Society - International Conference in Asia 2008 (IUMRS-ICA 2008), (Nagoya, Nov., 2008) ZO-13, p. 213.
  274. K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi and S. Miyazaki, "Electronic Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique", International Union Material Research Society - International Conference in Asia 2008 (IUMRS-ICA 2008), (Nagoya, Nov., 2008) ZO-12, p. 213.
  275. K. Makihara, A. Ohta, R. Matsumoto, M. Ikeda, K. Shimanoe, S. Higashi and S. Miyazaki, "Characterization of Chemical Bonding Features and Electronic States of Ni-Silicide Nanodots Formed by a Remote H2-Plasma Assisted Technique", The 4th Vacuum and Surface Sciences Conference of Asia and Australia (VASSCAA-4), (Matsue, Oct., 2008) 28A04, p. 45.
  276. K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki, "Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2", 214th Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing and Device Symposium, (Honolulu, Oct., 2008) #2403.
  277. S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto, "Formation of Metallic Nanodots on Ultrathin Gate Oxide Induced by H2-plasma Treatment and Its Application to Floating Gate Memories", 4th International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2008), (Sendai, Sep., 2008) Z-01, pp. 53-54.
  278. K. Makihara, M. Ikeda, S. Higashi, Y. Hata, A. Kuroda and S. Miyazaki, "AFM/KFM Detection of Si-tagged ProteinA on HF-last Si(100), Thermally Grown SiO2 and Si-QDs Surfaces", 4th International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2008), (Sendai, Sep., 2008) P-09, pp. 39-40.
  279. K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki, Formation of Ultra High Density Si-based Quantum Dots on Ultrathin SiO2, 4th International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2008), (Sendai, Sep., 2008) P-08, pp. 37-38.
  280. M. Ikeda, R. Matsumoto, K. Shimanoe, K. Makihara and S. Miyazaki, "Charge Injection and Emission Characteristics of Hybrid Floating Gate Stack Consisting of NiSi-Nanodots and Silicon-Quantum-Dots", 2008 International Conference on Solid State Devices and Materials (SSDM), (Tsukuba, Sep., 2008) H-1-6, pp.182-183.
  281. S. Miyazaki, M. Ikeda, K. Makihara and K. Shimanoe, "Formation of Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Application", The European Materials Research Society (E-MRS) 2008 Fall Meeting, (Warszawa, Poland, Sep., 2008) pp.66-67.
  282. Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara and S. Miyazaki, "Characteristics tunneling of Si quantum dot floating gate at low temperature and in magnetic fields", 25th International Conference on Low Temperature Physics, (Amsterdam, Netherland, Aug., 2008) PD-Tu266.
  283. K. Shimanoe, K. Makihara, M. Ikeda, R. Matsumoto, S. Higashi and S. Miyazaki, "Formation of Pd Nanodots Induced by Remote Hydrogen Plasma Treatment and Its Application to Floating Gate MOS Memories", 2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2008), (Sapporo, July, 2008) 4A.1, pp. 77-80.
  284. H. Kaku, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Electrical Properties of Highly Crystallized Ge:H Thin Films Grown from VHF Inductively-Coupled Plasma of H2-diluted GeH4", 2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2008), (Sapporo, July, 2008) 8A.1, pp. 271-274.
  285. S. Mahboob, K. Makihara, H. Kaku, M. Ikeda, S. Higashi, S. Miyazaki and A. Kuroda, "Electrical Detection of Si-tagged Proteins on HF-last Si(100) and Thermally Grown SiO2 Surfaces", 2008 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2008), (Sapporo, July, 2008) 5B.3, pp. 155-158.
  286. M. Ikeda, K. Shimanoe, R. Matsumoto, K. Makihara and S. Miyazaki, "Formation of Ni- and Pt-Nanodots Induced by Remote Hydrogen Plasma Treatment and Their Application to Floating Gate MOS Memories", The 2008 International Meeting for Future of Electron Devices, Kansai (IMFEDK), (Osaka, May, 2008) B-5, pp. 43-44.
  287. K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki, "Selective Growth of Self-Assembling Si and SiGe Quantum Dots", 4th International SiGe Technology and Device Meeting (ISTDM2008), (Hsinchu, Taiwan, May, 2008) Mon-P1-10, pp. 147-148.
  288. Y. Ono, H. Kaku, K. Makihara, S. Higashi and S. Miyazaki, "High Rate Growth of Highly Crystallized Ge:H Thin Films from VHF Inductively-Coupled Plasma of GeH4", The 1st International Conference on Plasma-Nano Technology & Science (IC-PLANTS 2008), (Nagoya, Mar., 2008) P-04.
  289. R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique", The Sixth Pacific Rim International Conference on Advanced Materials and Processing (PRICM6), (Jeju, Korea, Nov., 2007) 9-3-4, p. 73.
  290. T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki, "High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Inductively-Coupled Plasma of GeH4 + H2", The Sixth Pacific Rim International Conference on Advanced Materials and Processing (PRICM6), (Jeju, Korea, Nov., 2007) 9-4-8, p. 74.
  291. K. Shimanoe, K. Makihara, A. Ohta, M. Ikeda, S. Higashi and S. Miyazaki, "Formation of PtSi Nanodots Induced by Remote H2 Plasma", 3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007), (Sendai, Nov., 2007) P-09, pp. 37-38.
  292. S. Miyazaki, T. Sakata, K. Makihara, M. Ikeda, "High Rate Growth of Crystalline Ge Films at Low Temperatures by Controlling 60MHz Inductively-Coupled Plasma of H2-diluted GeH4", 3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007), (Sendai, Nov., 2007) P-07, pp. 33-34.
  293. M. Ikeda, R. Matsumoto, K. Shimanoe, T. Okada, K. Makihara, S. Higashi and S. Miyazaki, "Charge Injection Characteristics of NiSi-Dots/Silicon-Quantum-Dots Stacked Floating Gate in MOS Capacitors", 3rd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2007), (Sendai, Nov., 2007) P-08, pp. 35-36.
  294. K. Makihara, K. Shimanoe, M. Ikeda, S. Higashi and S. Miyazaki, "Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-Plasma Treatment and Their Electrical Charging Characteristics", 2007 International Conference on Solid State Devices and Materials (SSDM2007), (Tsukuba, Sep., 2007) I-8-1, pp.1108-1109.
  295. K. Okuyama, K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Electroluminescence from Multiple-Stacked Structures of Impurity Doped Si Quantum Dots", 2007 International Conference on Solid State Devices and Materials (SSDM2007), (Tsukuba, Sep., 2007) E-1-4, pp.106-107.
  296. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots/SiO2 Structure as Evaluated by AFM/KFM", 2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2007), (Gyeongju, Korea, June, 2007) J-R22W, pp. 251-254.
  297. K. Okuyama, K. Makihara, A. Ohta, H. Murakami, M. Ikeda, S. Higashi and S. Miyazaki, "Impact of Boron Doping to Si Quantum Dots on Light Emission Properties", 2007 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2007), (Gyeongju, Korea, June, 2007) J-R23M, pp. 135-138.
  298. K. Makihara, M. Ikeda, A. Ohta, H. Murakami, R. Matsumoto, E. Ikenaga, M. Kobata, J. Kim, S. Higashi and S. Miyazaki, "Phosphorus Doping to Si Quantum Dots for Floating Gate Application", 2007 Silicon Nanoelectronics Workshop, (Kyoto, June, 2007) 5-3, pp. 161-162.
  299. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics", 5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5), (Marseille, France, May, 2007) 22P 2-15, pp. 313-314.
  300. T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki, "Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4", 5th International Conference on Silicon Epitaxy and Heterostructures (ICSI-5), (Marseille, France, May, 2007) 21P1-7, pp. 214-215.
  301. K. Makihara, K. Shimanoe, Y. Kawaguchi, M. Ikeda, S. Higashi and S. Miyazaki, "Formation of Ni Nanodots Induced by Remote Hydrogen Plasma", The European Materials Research Society (E-MRS) 2007 Spring Meeting, (Strasbourg, France, May, 2007) K-3 4.
  302. K. Makihara, Y. Kawaguchi, M. Ikeda, S. Higashi and S. Miyazaki, "Luminescence Study of Multiply-Stacked Structures Consisting of Impurity-Doped Si Quantum Dots and Ultrathin SiO2", The 2007 International Meeting for Future of Electron Devices, Kansai (IMFEDK), (Osaka, Apr., 2007) PB-5, pp. 121-122.
  303. T. Sakata, K. Makihara, S. Higashi and S. Miyazaki, "Formation of Highly-Crystallized Ge:H Films form VHF Inductively-Coupled Plasma of GeH4", 2nd International Workshop in New Group IV Semiconductor Nanoelectronics (SiGe(C)2006), (Sendai, Oct., 2006) P-21, pp. 61-62.
  304. K. Makihara, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using AFM/Kelvin Probe Technique", International Union Material Research Society - International Conference in Asia (IUMRS-ICA 2006), (Jeju, Korea, Sep., 2006) 5-O-7, p. 82.
  305. K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki, "Phosphorus Doping to Si Quantum Dots and Its Floating Gate Application", 2006 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2006), (Sendai, July, 2006) 6A-5, pp.135-138.
  306. K. Makihara, T. Nagai, M. Ikeda, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki, "Charging and Discharging Characteristics of P-doped Si Quantum Dots Floating Gate", The 2006 International Meeting for Future of Electron Devices, Kansai (IMFEDK), (Kyoto, Apr., 2006) PB-2, pp. 67-68.
  307. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki, "Study of Charged states of Si Quantum Dots with Ge Core", 210th Electrochemical Society (ECS) Meeting : SiGe & Ge Materials, Processing, and Device Symposium, (Cancun, Mexico, Oct., 2006) #1425.
  308. T. Hosoi, K. Sano, M. Hino, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki, and K. Shibahara, "Characterization of Sb-Doped Fully-Silicided NiSi/SiO2/Si MOS Structure", 2005 International Semiconductor Device Research Symposium, (Bethesda, Maryland, Dec., 2005) WP-4-05-1-WP-4-05-2, pp. 244-245.
  309. T. Sakata, K. Makihara, S. Higashi and S. Miyazaki, "Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4", 2005 International Symposium on Dry Process (DPS 2005), (jeju, Korea, Nov., 2005) 9-02, pp.233-234.
  310. J. Nishitani, K. Makihara, Y. Darma, H. Murakami, S. Higashi and S. Miyazaki, "Experimental Evidence of Coulombic Interaction among Stored Charges in Single Si Dot as Detected By AFM/Kelvin Probe Technique", 2005 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2005), (Seoul, Korea, June, 2005) A9.4, pp.177-180.
  311. K. Makihara, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki, "The Application of Multiple-Stacked Si Quantum Dots to Light Emitting Diodes", 2005 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2005), (Seoul, Korea, June, 2005) A9.3, pp. 173-176.
  312. J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki, "Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots", 2005 China International Conference on Nanoscience & Technology, (Beijing, China, June) 2005, p. 126.
  313. K. Makihara, J. Xu, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki, "Fabrication of Multiply-Stacked Structures Consisting of Si-QDs with Ultrathin SiO2 and Its Application of Light Emitting Diodes", First International Workshop in New GroupIV Semiconductor Nanoelectronics (SiGe(C)2005), (Sendai, May, 2005) P-13, pp. 47-48.
  314. J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higasi and S. Miyazaki, "Decay Characteristics of Electronic Charged States of Si Quantum Dots as Evaluated by an AFM/Kelvin Probe Technique", Fourth International Conference on Silicon Epitaxy and Heterostructures (ICSI-4), (Hyogo, May, 2005) 25P2-32, p.294-295.
  315. K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higasi and S. Miyazaki, "Characterization of Electronic Charged States of P-doped Si Quantum Dots Using AFM/KFM Probe", Fourth International Conference on Silicon Epitaxy and Heterostructures (ICSI-4), (Hyogo, May, 2005) 23D-6, p. 32-33.
  316. K. Makihara, J. Xu, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki, "Light Emitting Devices from Multilayered Si Quantum Dots Structures", The 2005 International Meeting for Future of Electron Devices, Kansai (IMFEDK), (Kyoto, Apr., 2005) P-D5, pp. 93-94.
  317. K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki, "Fabrication of Multiple-Stacked Si Quantum Dots and Its Application to Light Emitting Diodes", The 4th International Symposium on Nanotechnology, (Tokyo, Feb., 2005) P-3-19, pp. 168-169.
  318. K. Makihara, H. Nakagawa, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki, "Fabrication of Multiply-Stacked Structures of Si Quantum-Dots Embedded in SiO2 by Combination of Low-Pressure CVD with Remote Plasma Treatments", 2004 International Microprocesses and Nanotechnology Conference (MNC2004), (Osaka, Oct., 2004) 28P-6-68L, pp. 216-217.
  319. K. Makihara, Y. Okamoto, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of germanium nanocrystallites grown on quartz by a conductive AFM probe technique", 2004 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2004), (Sasebo, June, 2004) A10.5, pp. 277-280.
  320. K. Makihara, H. Deki, H. Murakami, S. Higasi and S. Miyazaki, "Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment", 12th Int. Conf. on Solid Films and Surface (ICSFS-12), (Hamamatsu, June, 2004) A5-2, p. 137.
  321. Y. Okamoto, K. Makihara, S. Higasi and S. Miyazaki, "Formation of Microcrystalline Germanium (μc-Ge:H) Films From Inductively-Coupled Plasma CVD", 12th International Conference on Solid Films and Surface (ICSFS-12), (Hamamatsu, June, 2004) A2-3, p. 10.
  322. K. Makihara, Y. Okamoto, M. Ikeda, H. Murakami and S. Miyazaki, "Local Characterization of Electronic Transport in Microcrystalline Germanium Thin Films by Atomic Force Microscopy Using a Conducting Probe", 2003 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD2003), (Busan, Korea, June, 2003) 2.4, p. 37-40. 
  323. K. Makihara, Y. Okamoto, H. Nakagawa, H. Murakami, S. Higashi and S. Miyazaki, "Electrical Characterization of Ge Microcrystallites by Atomic Force Microscopy Using a Conducting Probe", The 16th Symposium on Plasma Science for Materials (SPSM16), (Tokyo, June, 2003) B6-3, p. 115.
  324. M. Koyano , K. Takase , T. Shimizu , K. Makihara ,Y. Takahashi, Y. Takano, K. Sekizawa, and S. Katayama, "Photoluminescence of New Layered Wide Band Gap Semiconductors (LaO)CuS", 26th Int. Conf. on Semicond. Phys., (Edinburgh, Scotland, July, 2002) p. 50.
  325. K. Takase, T. Shimizu, K. Makihara, Y. Takahashi, Y. Takano, and K. Sekizawa, "Room Temperature Ferromagnetism in Semiconductor (La1-xCaxO)Cu1-xNixS", 26th Int. Conf. on Semicond. Phys., (Edinburgh, Scotland, July, 2002) p. 107.
  326. K. Takase, T. Shimizu, K. Makihara, Y. Takahashi, Y. Takano, K. Sekizawa, and M. Koyano, "Ferromagnetism of The Layered Oxysulfides (La1-xCaxO)Cu1-xNixS (x = 0 and x = y)", The 8th Int. Workshop on Oxide Electronics, (Osaka, Sep., 2001) p. 34.

国内学会発表

  1. [招待講演] 牧原克典、宮崎誠一,「ナノドットによる量子物性制御デバイスの開発」,放射線科学とその応用第186委員会 第38回研究会『発光素子の合成および関連の話題』 (オンライン開催, 2021年5月18日)
  2. 前原 拓哉、池田 弥央、大田 晃生、牧原 克典、宮崎 誠一、「低温水素アニール処理がGeコアSi量子ドットのPL特性に及ぼす影響」第68回応用物理学会春季学術講演会,17a-Z18-5,(オンライン開催, 2021年3月16日-19日)
  3. 長井 大誠、田岡 紀之、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一、「HCl前洗浄がAl2O3/GaN界面特性に与える影響」第68回応用物理学会春季学術講演会,16a-Z13-2,(オンライン開催, 2021年3月16日-19日)
  4. 鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高、「XANAMにより測定したGe量子ドット像のX線エネルギー依存性」第68回応用物理学会春季学術講演会,17a-P08-5,(オンライン開催, 2021年3月16日-19日)
  5. 本田 俊輔、古幡 裕志、大田 晃生、池田 弥央、大島 大輝、加藤 剛志、牧原 克典、宮﨑 誠一、「リモート水素プラズマ支援FePt合金ナノドット自己組織化形成プロセスにおける基板温度が磁化特性に与える影響」第68回応用物理学会春季学術講演会,19p-Z02-2,(オンライン開催, 2021年3月16日-19日)
  6. 鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高、「XANAMによるSi-Ge量子ドットにおけるX線誘起力変化の調査」第68回応用物理学会春季学術講演会,16p-Z03-1,(オンライン開催, 2021年3月16日-19日)
  7. [招待講演] 牧原 克典、宮﨑 誠一、「Si-Ge系ナノドットの高密度集積と光・電子物性制御」、阪大CSRN 第二回異分野研究交流会 ~半導体ナノカーボン系~、オンライン、2020年8月28日
  8. 長谷川 遼介、田岡 紀之、大田 晃生、牧原 克典、池田 弥央、宮﨑 誠一、「金属Zr/Hf構造の熱酸化によるZrHf酸化物の形成と結晶相制御」 2020年 第81回応用物理学会秋季学術講演会, 10a-Z24-9, (オンライン開催 (Zoom), 2020年9月8日-11日)
  9. 新林 智文、竹本 竜也、牧原 克典、大田 晃生、池田 弥央、宮崎 誠一、「グラフェン上部電極を用いたSi量子ドット多重集積構造からの電界電子放出 ―コレクタ電極電圧依存性評価」 2020年 第81回応用物理学会秋季学術講演会, 9a-Z21-11, (オンライン開催 (Zoom), 2020年9月8日-11日)
  10. 鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高、「XANAMによるGe量子ドット像の1次元 元素マッピング」 2020年 第81回応用物理学会秋季学術講演会, 9p-Z24-9, (オンライン開催 (Zoom), 2020年9月8日-11日)
  11. 小林 征登、大田 晃生、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一、「Al/Ge(111)の表面偏析制御による極薄Ge結晶形成」第67回応用物理学会春季学術講演会,12p-D519-10,(講演会開催中止)
  12. 新林 智文、牧原 克典、大田 晃生、池田 弥央、宮崎 誠一、「グラフェン電極を用いたSi量子ドット多重集積構造からの電界電子放出」第67回応用物理学会春季学術講演会,12p-D511-7,(講演会開催中止)
  13. 牧原 克典、Yamamoto Yuji、藤森 俊太郎、前原 拓哉、池田 弥央、Tillack Bernd、宮崎 誠一、「Reduced-Pressure CVDによるGeコアSi量子ドットの高密度一括形成と発光特性評価」第67回応用物理学会春季学術講演会,13p-D305-5,(講演会開催中止)
  14. 須川 響、大田 晃生、小林 征登、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一、「Sapphire(0001)上にスパッタ形成したGe薄膜の結晶化」第67回応用物理学会春季学術講演会,12p-D519-9, (講演会開催中止)
  15. 武 嘉麟、張 海、古幡 裕志、牧原 克典、池田 弥央、大田 晃生、宮崎 誠一、「磁性AFM探針を用いたFe3Siナノドットの電子輸送特性評価」第67回応用物理学会春季学術講演会,13a-PA5-9,(講演会開催中止)
  16. 鈴木 秀士、向井 慎吾、田 旺帝、野村 昌治、藤森 俊太郎、池田 弥央、牧原 克典、宮﨑 誠一、朝倉 清高,「Ge量子ドット像のXANAMによるX線エネルギー依存性測定」第67回応用物理学会春季学術講演会,14p-D215-2,(講演会開催中止)
  17. 大田 晃生、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一、「光電子エネルギー損失信号によるSi系材料の複素誘電関数評価」2019年 第80回応用物理学会秋季学術講演会, 18a-E303-12, 12-013 (北海道大学 札幌キャンパス, 2019年9月18日-21日)
  18. 前原 拓哉、藤森 俊太郎、池田 弥央、大田 晃生、牧原 克典、宮崎 誠一、「B添加がGeコアSi量子ドットのPL特性に及ぼす影響」2019年 第80回応用物理学会秋季学術講演会, 19p-E317-8, 08-110 (北海道大学 札幌キャンパス, 2019年9月18日-21日)
  19. 橋本 靖司、牧原 克典、大田 晃生、池田 弥央、香野 淳、宮崎 誠一、「リモート水素プラズマ支援による磁性合金FeSiナノドットの高密度・一括形成」2019年 第80回応用物理学会秋季学術講演会, 19p-E317-6, 08-107 (北海道大学 札幌キャンパス, 2019年9月18日-21日)
  20. 藤森 俊太郎, 前原 拓哉, 今井 友貴, 池田 弥央, 牧原 克典,宮崎 誠一、「GeコアSi 量子ドットにおけるGe選択成長温度が発光特性に及ぼす影響」2019年 第80回応用物理学会秋季学術講演会, 19p-E317-7, 08-109 (北海道大学 札幌キャンパス, 2019年9月18日-21日)
  21. 鈴木 秀士,向井 慎吾,田旺帝,野村 昌治,藤森 俊太郎,池田 弥央,牧原 克典,宮﨑 誠一,朝倉 清高、「Ge試料表面構造のXANAM像の取得」2019年 第80回応用物理学会秋季学術講演会, 19a-E318-12, 100000000-053 (北海道大学 札幌キャンパス, 2019年9月18日-21日)
  22. 大田 晃生、牧原 克典、生田目 俊秀、塩﨑 宏司、宮﨑 誠一、「HfSiOx/GaN(0001)の化学構造および電子状態分析」電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 6月度合同研究会, SDM2019-35, 信学技報, Vol.119, No.96, pp.47-51. (名古屋大学 東山キャンパス, 2019年6月21日)
  23. 小林 征登、大田 晃生、黒澤 昌志、洗平 昌晃、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一、「熱処理によるAl/Ge(111)上の極薄Ge層形成」電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 6月度合同研究会, SDM2019-27, 信学技報, Vol.119, No.96, pp.11-16. (名古屋大学 東山キャンパス, 2019年6月21日)
  24. 大田 晃生、牧原克典、生田目 俊秀、塩﨑 宏司、宮崎誠一,「Hf/(Si+Hf)組成の異なるHfSiOx/GaN(0001)の光電子分光分析」,第66回応用物理学会春季学術講演会, 9a-M121-2 (於 東京工業大学 大岡山キャンパス, 2019年3月9日-12日)
  25. 二村湧斗、牧原克典、大田晃生、池田弥央、宮崎誠一,「GeコアSi 量子ドット/Si 量子ドット多重連結構造から の電界電子放出特性および電子放出エネルギー評価」,第66回応用物理学会春季学術講演会, 10a-W934-6 (於 東京工業大学 大岡山キャンパス, 2019年3月9日-12日)
  26. 永井僚, 藤森俊太郎, 前原拓哉, 池田弥央, 牧原克典, 大田晃生, 宮崎誠一, 「B添加がGeコアSi量子ドットのPL特性に及ぼす影響」, 第66回応用物理学会春季学術講演会, 10a-W934-7 (於 東京工業大学 大岡山キャンパス, 2019年3月9日-12日)
  27. 小林征登、大田晃生、黒澤昌志、洗平昌晃、田岡紀之、池田弥央、牧原克典、宮崎誠一,「ヘテロエピタキシャルAl/Ge(111)上に偏析した極薄Ge の化学分析」、第66回応用物理学会春季学術講演会, 12a-M113-6 (於 東京工業大学 大岡山キャンパス, 2019年3月9日-12日)
  28. 長谷川遼介、牧原克典、大田晃生、池田弥央、宮崎誠一,「リモートO2プラズマ支援MOCVDによるHf酸化物ナノ ドットの高密度・一括形成」,第66回応用物理学会春季学術講演会, 11p-W833-10 (於 東京工業大学 大岡山キャンパス, 2019年3月9日-12日)
  29. 竹本竜也, 二村湧斗、牧原克典、大田晃生、池田弥央、宮崎誠一,「Si量子ドット多重連結構造からの電界電子放出特性 -積層数依存性」,第66回応用物理学会春季学術講演会, 11a-PB1-1 (於 東京工業大学 大岡山キャンパス, 2019年3月9日-12日)
  30. Yue Xu, Akio Ohta, Noriyuki Taoka, Mitsuhisa Ikeda, Katsunori Makihara, Tetsuo Narita, Daigo Kikuta, Koji Shiozaki, Tetsu Kachi, Seiichi Miyazaki,「Study on HCl-based Wet Chemical Cleaning of Epitaxial GaN(0001) Surfaces」,第66回応用物理学会春季学術講演会, 11p-PB3-3 (於 東京工業大学 大岡山キャンパス, 2019年3月9日-12日)
  31. 松田亮平、大田晃生、田岡紀之、池田弥央、牧原克典、清水三聡、宮崎誠一、「リモートプラズマ支援CVDにより形成したSiO2/GaN界面の化学結合状態および熱的安定性評価」信学技報(IEICE Technical Report) Vol. 118 No. 110 pp. 29-32シリコン材料・デバイス研究会, 8 (於 名古屋大学, 2018年6月25日)
  32. 藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一、「X線光電子分光法によるY2O3/SiO2界面におけるシリケート化反応およびダイポールの評価」、シリコン材料・デバイス研究会、名古屋大学、2019/06/25
  33. グェン スァン チュン、田岡 紀之、大田 晃生、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮崎 誠一、「ArまたはHe希釈リモート酸素プラズマCVDによって形成したSiO2/GaN界面の構造・特性比較」、シリコン材料・デバイス研究会 名古屋大学、2019/06/25
  34. 今川 拓哉、大田 晃生、田岡 紀之、池田 弥央、牧原 克典、宮﨑 誠一、「熱酸化SiO2/Si(111)の真空紫外光によるUPS分析」、電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―(第24回)、東レ研修センター、2019年1月24日-26日
  35. 大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一、「光電子分光法による絶縁酸化膜の誘電関数・光学定数の決定」、電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理―(第24回)、東レ研修センター、2019年1月24日-26日
  36. [招待講演] 牧原克典、宮崎誠一,「Si-Geナノ構造制御で展開する電子デバイス開発」,2018年日本表面真空学会中部支部研究会 (於 静岡大学, 2018年11月30日)
  37. 田岡 紀之、グェンスァン チュン、山本 泰史、大田 晃生、山田 永、高橋 言緒、池田 弥央、牧原 克典、宮崎 誠一、清水 三聡、「リモートプラズマによる表面洗浄とSiO2/GaN構造のin-situ形成」、第79回応用物理学会秋季学術講演会, (於 名古屋国際会議場, 2018年9月18日-21日)
  38. 大田 晃生、池田 弥央、牧原 克典、宮﨑 誠一、「光電子エネルギー損失信号による絶縁酸化膜の誘電関数評価」、2018年 第79回応用物理学会秋季学術講演会、名古屋国際会議場、2018年9月18日-21日
  39. 高田 昇治、田岡 紀之、大田 晃生、山本 泰史、グェンスァン チュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮崎 誠一 「リモートプラズマを用いて形成したSiO2/Ga2O3/GaN構造のPL特性」、第79回応用物理学会秋季学術講演会, (於 名古屋国際会議場, 2018年9月18日-21日)
  40. 二村湧斗、牧原克典、大田晃生、池田弥央、宮崎誠一,「GeコアSi量子トドット/Si量子トドット多重集積構造からの電界電子放出」,第79回応用物理学会秋季学術講演会, 21a-135-5 (於 名古屋国際会議場, 2018年9月18日-21日)
  41. 小林征登、大田晃生、黒澤昌志、洗平昌晃、田岡紀之、池田弥央、牧原克典、宮崎誠一,「真空蒸着によるGe(111)上のAlヘテロエピタキシャル成長」、第79回応用物理学会秋季学術講演会, 18p-235-7, (於 名古屋国際会議場, 2018年9月18日-21日)
  42. 橋本靖司, 牧原克典, 大田晃生, 池田弥央, 宮崎誠一, 「リモート水素プラズマ支援によるFePt合金ナノドットの高密度形成と磁化特性評価」, 第79回応用物理学会秋季学術講演会, 19a-221A-8(於 名古屋国際会議場, 2018年9月18日-21日)
  43. 二村湧斗、牧原克典、大田晃生、池田弥央、宮崎誠一,「GeコアSi量子ドット/Si量子ドット多重集積構造からの弾道電子放出」,応用物理学会SC東海地区学術講演会2018, A1, p.49 (於 名古屋大学, 2018年11月25日)
  44. 小林征登、大田晃生、黒澤昌志、洗平昌晃、田岡紀之、池田弥央、牧原克典、宮崎誠一,「エピタキシャルAl/Ge(111)の形成と真空中熱処理による表面平坦化およびGe析出」,応用物理学会SC東海地区学術講演会2018, A11, p.59 (於 名古屋大学, 2018年11月25日)
  45. 橋本靖司, 牧原克典, 大田晃生, 池田弥央, 宮崎誠一, 「リモート水素プラズマ支援によって高密度形成されたFePt合金ナノドットの磁化特性評価」, 第6回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会, A10 (於 名古屋大学, 2018年11月25日)
  46. 藤森俊太郎, 山田健太郎, 永井僚, 牧原克典, 池田弥央, 宮崎誠一, 「Ge/Si量子ドット上へのSi選択成長および発光特性評価」, 第6回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会, P31 (於 名古屋大学, 2018年11月25日)
  47. 永井僚, 藤森俊太郎, 池田弥央, 牧原克典, 大田晃生, 宮崎誠一, 「P添加GeコアSi量子ドットの帯電および局所電気特性評価」, 第6回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会, P30 (於 名古屋大学, 2018年11月25日)
  48. [招待講演] 牧原克典、宮崎誠一,「ナノ構造制御で展開する電子デバイス開発と機能進化・高度化への挑」戦,第3回ニューフロンティアリサーチワークショップ (於 岐阜大学, 2018年6月8日)
  49. [講演奨励賞受賞記念講演] 伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一,「熱処理によるエピタキシャルAg/Ge(111)構造の表面平坦化とGe析出量制御」,第65回応用物理学会春季学術講演会, 20a-F214-1 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  50. 藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一,「XPSによるY2O3/SiO2界面の化学結合状態および内部電位評価」,第65回応用物理学会春季学術講演会, 17p-F206-17 (於早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  51. 藤森俊太郎、山田健太郎、永井僚、池田弥央、牧原克典、宮崎誠一,「Ge/Si量子ドット上へのSi選択成長と室温PL特性」,第65回応用物理学会春季学術講演会, 19a-P5-4 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  52. 今川拓哉、大田晃生、田岡紀之、藤村信幸、池田弥央、牧原克典、宮崎誠一,「SiO2/Si構造の真空紫外光電子分光分析」,第65回応用物理学会春季学術講演会, 18p-B301-2 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  53. 牧原克典、池田弥央、藤村信幸、大田晃生、宮崎誠一,「電子・正孔交互注入によるGeコアSi量子ドット多重集積構造からのエレクトロルミネッセンス」,第65回応用物理学会春季学術講演会, 20a-F104-5 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  54. 大田晃生、今川拓哉、池田弥央、牧原克典、宮崎誠一,「光電子収率分光法による熱酸化SiO2/Si構造の電子状態計測」,第65回応用物理学会春季学術講演会, 17a-F206-4 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  55. 藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一,「XPSによるY2O3/SiO2界面の化学結合状態および内部電位評価」,第65回応用物理学会春季学術講演会, 17p-F206-17 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  56. 永井僚、山田健太郎、藤森俊太郎、池田弥央、牧原克典、大田晃生、宮崎誠一,「P添加GeコアSi量子ドットの帯電および電子輸送特性評価」,第65回応用物理学会春季学術講演会, 20a-F104-6 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  57. グェンスァンチュン、田岡紀之、大田晃生、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一,「GaN(0001)面上へのHe希釈リモート酸素プラズマ支援によるSiO2 CVD ― Ar希釈リモート酸素プラズマ支援との違い」,第65回応用物理学会春季学術講演会, 17p-P12-9 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  58. 高田昇治、山本泰史、田岡紀之、大田晃生、グェンスァンチュン、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一,「リモートプラズマによって酸化されたn-GaNのPL特性」,第65回応用物理学会春季学術講演会, 17p-P12-8 (於 早稲田大学 西早稲田キャンパス, 2018年3月17日-20日)
  59. グェンスァンチュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一,「リモートプラズマ支援CVDによる低欠陥密度SiO2/GaN界面の形成」,第17回日本表面科学会中部支部学術講演会, 講演番号1 (於 名古屋大学, 2017年12月16日)
  60. 藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一,「高誘電率絶縁膜/SiO2界面のダイポール形成と化学構造の関係」,第17回日本表面科学会中部支部学術講演会, 講演番号6 (於 名古屋大学, 2017年12月16日)
  61. 山田健太郎、牧原克典、池田弥央、大田晃生、宮崎誠一,「高密度GeコアSi量子ドットの室温EL特性評価」,第17回日本表面科学会中部支部学術講演会, 講演番号10 (於 名古屋大学, 2017年12月16日)
  62. 伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一,「二次元結晶合成に向けたAg上Ge極薄膜の形成」,電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理― (第23回研究会), (於 東レ研修センター), P-11, 1月2018年
  63. 藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一,「XPSによる極薄high-k/SiO2ゲートスタック構造の電子状態および化学結合状態評価」,電子デバイス界面テクノロジー研究会 (第23回研究会), P-26 (於 東レ研修センター, 2018年1月19日-20日)
  64. 田岡紀之, 小林貴之, 中村昌幸, 佐川達郎, グェンスァンチュン, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 久保俊晴, 山田寿一, 江川孝志, 宮崎誠一, 本山愼一, 清水三聡,「ALD-Al2O3/GaN界面における伝導帯端近傍の界面準位密度の低減」,電子デバイス界面テクノロジー研究会 (第23回研究会), 8-2 (於 東レ研修センター, 2018年1月19日-20日)
  65. 山本泰史, 田岡紀之, 大田晃生, グェンスァンチュン, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一,「プラズマ酸化で形成したGa酸化物薄膜/GaN構造のエネルギーバンド構造と電気的界面特性」,電子デバイス界面テクノロジー研究会 (第23回研究会), 8-3 (於 東レ研修センター, 2018年1月19日-20日)
  66. グェンスァン チュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一, 「リモート酸素プラズマ支援CVD SiO2/GaNの化学構造及び電気特性評価」, 電子情報通信学会 電子デバイス研究会,12月1日
  67. 山本泰史、田岡紀之、大田 晃生、グェンスァン チュン、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一, 「リモート酸素プラズマによって酸化したGaNの表面構造」先進パワー半導体分科会第4回講演会, (於 名古屋国際会議場), IIA-10, 11月2017年
  68. 藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一、「高誘電率絶縁膜/SiO2積層構造の光電子分光分析-界面ダイポールと酸素密度の相関-、」 応用物理学会SC東海地区学術講演会2017, PP32, p.72 (於 名古屋大学, 2017年10月29日)
  69. グェンスァン チュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一, 「熱処理がリモートプラズマ CVD SiO2/GaN 構造の化学結合状態及び電気特性に与える影響」, 第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会, (於 名古屋大学), PP29, 10月29日
  70. 伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一、「熱処理によるエピタキシャルAg上へのGe二次元結晶の合成指針の構築」、第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会、 (於 名古屋大学), PP15, 10月2017年
  71. 山本泰史、田岡紀之、大田 晃生、グェンスァン チュン、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一, 「リモートプラズマ酸化したGaNの表面構造と電子状態」第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会, (於 名古屋大学), PP30, 10月2017年
  72. 今川 拓哉、大田 晃生、田岡 紀之、藤村 信幸、グェン スァン チュン、池田 弥央、牧原 克典、宮崎 誠一、「入射エネルギー可変の真空紫外光電子分光による固体表面の価電子帯上端位置の計測」第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会, (於 名古屋大学), PP31, 10月2017年
  73. 山田健太郎、池田弥生、牧原克典、大田晃生、宮崎誠一,「GeコアSi量子ドットのEL特性評価」第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会, (於 名古屋大学), PA7, 10月2017年
  74. 中島 裕太、牧原 克典、大田 晃生、池田 弥央、宮崎 誠一、「硬X線光電子分光法によるSi量子ドット多重集積構造のオペランド分析」第5回応用物理学会名古屋大学スチューデントチャプター東海地区学術講演会, (於 名古屋大学), A11, 10月2017年
  75. 藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一、「XPSによるHigh-k/SiO2界面のダイポール定量と酸素密度比との相関、」 第78回応用物理学会秋季学術講演会, 5p-C11-4, 12-027 (於 福岡国際会議場, 2017年9月5日-8日)
  76. グェンスァン チュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一, 「リモート酸素プラズマ支援CVD SiO2/GaNの熱安定性」, 第78回応用物理学会学術講演会, (於 福岡国際会議場), 5P-C17-2, 9月5日
  77. 伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一、「熱処理によるAg/Ge構造の表面平坦化とGe析出量制御」、第78回応用物理学会秋季学術講演会, 8a-C19-7, (於 福岡国際会議場, 2017年9月5日-8日)
  78. 山本泰史、田岡紀之、大田 晃生、グェンスァン チュン、山田永、高橋言緒、池田弥央、牧原克典、清水三聡、宮崎誠一, 「リモート酸素プラズマで形成したGa酸化物/GaN構造のエネルギーバンド構造と電気的特性」2017年 第78回応用物理学会秋季学術講演会, 5p-C17-3, (福岡国際会議場, 福岡市, 福岡県, 2017年9月5日-8日)
  79. 今川 拓哉、大田 晃生、藤村 信幸、グェン スァン チュン、池田 弥央、牧原 克典、加地 徹、塩崎 宏司、宮崎 誠一、「真空紫外光電子分光によるGaNの電子親和力評価」第78回応用物理学会秋季学術講演会, (於 福岡国際会議場), c17, 9月2017年
  80. L. Peng, A. Ohta, N. X. Truyen, M. Ikeda, K. Makihara, N. Taoka, T. Narita,, K. Itoh, D. Kikuta, K. Shiozaki, T. Kachi, and S. Miyazaki, “Study of Wet Chemical Treatments of Epitaxial GaN(0001) Surface”, The 78th JSAP Autumn Meeting, 2017,( Fukuoka Convention Center, September 2017).
  81. 牧原 克典、池田 弥央、藤村 信幸、大田 晃生、宮崎 誠一、「電子・正孔交互注入によるGeコアSi量子ドット多重集積構造の発光特性 」 第78回応用物理学会秋季学術講演会, 7a-A414-2, 12-027 (於 福岡国際会議場, 2017年9月5日-8日)
  82. 藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一、「高誘電率絶縁膜の電子親和力の決定およびSiO2との界面で生じる電位変化の定量」 2017 年真空・表面科学合同講演会 第37 回表面科学学術講演会・第58 回真空に関する連合講演会, 1Dp10S, p.28 (於 横浜市立大学金沢八景キャンパス, 2017年8月17日-19日)
  83. グェンスァン チュン, 田岡紀之, 大田晃生, 山田永, 高橋言緒, 池田弥央, 牧原克典, 清水三聡, 宮崎誠一, 「リモート酸素プラズマ支援CVDによる急峻SiO2/GaN界面の形成とその電気的特性」、第37回表面科学学術講演会、第58回真空に関する連合講演会 、8月19日
  84. 藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎誠一、「XPSによるHigh-k/SiO2界面の化学構造およびダイポールの評価、」電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 6月度合同研究会, SDM2017-30, 信学技報, Vol. 117, No. 101, pp. 19-24. (キャンパス・イノベーションセンター東京、港区、東京都、 2017年6月20日(火) )
  85. 大田 晃生、加藤 祐介、池田 弥央、牧原 克典、宮崎 誠一、「定電圧および定電流印加によるSi酸化薄膜の電気抵抗変化特性評価、」電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 6月度合同研究会, SDM2017-30, 信学技報, Vol. 117, No. 101, pp. 43-48. (キャンパス・イノベーションセンター東京、港区、東京都、 2017年6月20日(火) )
  86. 伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮崎 誠一、「エピタキシャルAg(111)上の極薄IV族結晶形成、」電気通信情報学会(SDM) [シリコン材料・デバイス] シリコンテクノロジー分科会 6月度合同研究会, SDM2017-30, 信学技報, Vol. 117, No. 101, pp. 43-48. (キャンパス・イノベーションセンター東京、港区、東京都、 2017年6月20日(火) )
  87. [招待講演]牧原克典、宮崎誠一,「ナノ構造制御で展開する電子デバイス開発と機能進化・高度化への挑戦」,応用物理学会東海支部 第3回ニューフロンティアリサーチワークショップ,(於 岐阜大学),6月8日2018年
  88. 伊藤 公一、大田 晃生、黒澤 昌志、洗平 昌晃、池田 弥央、牧原 克典、宮崎 誠一、「Ge上にエピタキシャル成長したAg(111)表面の平坦化および化学構造評価」2017年 第64回応用物理学会春季学術講演会, 14a-318-4, 13-043 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  89. 山本 泰史、田岡 紀之、大田 晃生、グェンスァンチュン、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮崎 誠一、「リモート酸素プラズマによるGaN表面酸化」2017年 第64回応用物理学会春季学術講演会, 15p-315-1, 12-156 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  90. グェンスァンチュン、田岡 紀之、大田 晃生、山本 泰史、山田 永、高橋 言緒、池田 弥央、牧原 克典、清水 三聡、宮崎 誠一、「リモートプラズマ支援 CVD SiO2/GaN の界面特性」2017年 第64回応用物理学会春季学術講演会, 15p-315-7, 12-162 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  91. 高 磊、池田 弥央、山田 健太郎、牧原 克典、大田 晃生、宮崎 誠一、「Si 細線構造への高密度 Si 量子ドット形成と発光特性」2017年 第64回応用物理学会春季学術講演会, 15p-P12-2, 12-202 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  92. 藤村 信幸、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一、「XPSによるHfO2の電子親和力と界面ダイポールの定量」2017年 第64回応用物理学会春季学術講演会, 16a-413-10, 12-272 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  93. 大田 晃生、村上 秀樹、池田 弥央、牧原 克典、池永 英司、宮崎 誠一、「硬X線光電子分光法によるSi-MOSダイオードのオペランド分析 -電位変化および化学結合状態評価-」2017年 第64回応用物理学会春季学術講演会, 16a-413-4, 12-265 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  94. 加藤 祐介、大田 晃生、池田 弥央、牧原 克典、宮崎 誠一、「Tiナノドットを埋め込んだSiOx膜の電気抵抗変化特性-定電圧および定電流印加による特性制御-」 2017年 第64回応用物理学会春季学術講演会, 16a-419-10, 05-261 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  95. 山田 健太郎、牧原 克典、池田 弥央、大田 晃生、宮崎 誠一、「Ge コアSi 量子ドットの発光特性評価」2017年 第64回応用物理学会春季学術講演会, 16p-E206-11, 12-425 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  96. 中島 裕太、竹内 大智、牧原 克典、大田 晃生、池田 弥央、宮崎 誠一、「硬X線光電子分光を用いたSi量子ドット多重集積構造の電位分布評価」 2017年 第64回応用物理学会春季学術講演会, 16p-E206-9, 12-423 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  97. 渡辺 浩成、大田 晃生、池田 弥央、牧原 克典、森 大輔、寺尾 豊、宮崎 誠一、「ドライおよびN2O酸化により形成したSiO2/4H-SiCの電子占有欠陥評価」2017年 第64回応用物理学会春季学術講演会, 17a-301-7, 13-244 (パシフィコ横浜, 横浜市, 神奈川県, 2017年3月14日-17日)
  98. 藤村信幸、大田晃生、池田弥央、牧原克典、宮崎誠一、「X線光電子分光法による極薄酸化物積層構造の電位変化・ダイポール評価、」 電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理― (第22回研究会), (於 東レ研修センター) , P-20, 1月2017年
  99. グェンチュンスァン、大田晃生、牧原克典、池田弥央、宮崎誠一、「光電子分光法によるリモートプラズマCVD SiO2/GaNの化学結合状態および電子占有欠陥評価、」電子デバイス界面テクノロジー研究会―材料・プロセス・デバイス特性の物理― (第22回研究会), (於 東レ研修センター) , P-25, 1月2017年
  100. 大田晃生、村上秀樹、池田弥央、牧原克典、池永英司、宮崎誠一、「HAXPES によるSi-MOS キャパシタの化学結合状態および内部電位の深さ方向分析、」2016真空・表面科学合同講演会, (於 名古屋国際会議場), 2PB36, 12月2016年
  101. 渡辺浩成、大田晃生、池田弥生、牧原克典、森大輔、寺尾豊、宮崎誠一, 「熱酸化SiO2/4H-SiC Si面およびC面の電子占有欠陥および化学構造評価」第16回日本表面科学会中部支部学術講演会, (於 名古屋大学), 講演番号4, 12月2016年
  102. 藤村信幸、大田晃生、池田弥生、牧原克典、宮崎誠一, 「HfO2/SiO2/Si構造の光電子分光分析-界面ダイポールの定量-」第16回日本表面科学会中部支部学術講演会, (於 名古屋大学), 講演番号3, 12月2016年
  103. 中島裕太、大田晃生、竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一, 「Si系量子ドット多重集積構造からの電界電子放出特性」2016真空・表面科学合同講演会, (於 名古屋国際会議場), P219, 12月2016年
  104. 高磊、竹内大智、牧原克典、池田弥央、大田晃生、宮崎誠一, 「Si細線構造への高密度Si量子ドット形成」, 2016真空・表面科学合同講演会, (於 名古屋国際会議場), 1PB15, 12月2016年
  105. 伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一,「IV 族半導体上に蒸着したAg 薄膜の化学構造評価と反応制御」第36回 表面科学学術講演会,(於 名古屋国際会議場),P154,11月2016年
  106. 加藤祐介、大田晃生、池田弥央、牧原克典、宮崎誠一,「シリコン酸化薄膜の電気抵抗スイッチングおよび欠陥準位密度評価」第36回表面科学学術講演会, (於 名古屋国際会議場), 2PA30, 11月2016年
  107. グェン スァンチュン, 大田晃生, 牧原克典, 池田弥央, 宮崎誠一, 「光電子収率分光法によるリモートプラズマCVD SiO2/GaN界面の電子占有欠陥評価」, 第4回応用物理学会SC東海地区学術講演会 (名古屋大学) 10月2016年
  108. 藤村信幸、大田晃生、池田弥生、牧原克典、宮崎誠一,「XPSによるSiO2/SiおよびHfO2/SiO2界面のダイポールの定量」応用物理学会SC東海地区学術講演会2016, (於 名古屋大学), P9, 10月2016年
  109. 伊藤公一、大田晃生、黒澤昌志、洗平昌晃、池田弥央、牧原克典、宮崎誠一、「SiおよびSiGe上に形成したAg表面の化学分析」応用物理学会SC東海地区学術講演会2016,(於 名古屋大学),P19,10月2016年
  110. 山本泰史、大田晃生、池田弥央、牧原克典、宮崎誠一, 「XPSを用いたSiO2およびGeO2の誘電関数・光学定数の評価手法の検討」応用物理学会SC東海地区学術講演会2016, (於 名古屋大学), P61, 10月2016年
  111. 加藤祐介、大田晃生、池田弥央、牧原克典、宮崎誠一,「Ti薄膜およびTiナノドットを埋め込んだNi/SiOx/Niの電気抵抗スイッチング」応用物理学会SC東海地区学術講演会2016, (於 名古屋大学), P10, 10月2016年
  112. 渡辺浩成、大田晃生、池田弥生、牧原克典、宮崎誠一,「光電子分光分析によるSiO2/4H-SiCの電子状態評価」応用物理学会SC東海地区学術講演会2016, (於 名古屋大学), P11, 10月2016年
  113. 竹内大智、山田健太郎、牧原克典、池田弥央、大田晃生、宮崎誠一,「GeコアSi量子ドット/Si量子ドット多重集積構造のEL特性」第77回応用物理学会秋季学術講演会, (於 朱鷺メッセ), 13p-A35-13, 9月2016年
  114. グェンスァン チュン,大田 晃生,牧原 克典,池田 弥央,宮崎 誠一,「リモートプラズマCVD SiO2/GaN界面の光電子分光分析」第77回応用物理学会秋季学術講演会, (於 朱鷺メッセ), 16a-B1-11, 9月2016年
  115. 藤村信幸、大田晃生、池田弥生、牧原克典、宮崎誠一,「HfO2/SiO2/Si(100)構造における内部電位分布、界面ダイポールの定量評価」第77回応用物理学会秋季学術講演会, (於 朱鷺メッセ), 15a-B9-4, 9月2016年
  116. 山田 健太郎、池田 弥央、牧原 克典、宮崎 誠一, 「GeコアSi量子ドットのエレクトロルミネッセンス特性」第77回応用物理学会秋季学術講演会, (於 朱鷺メッセ), 15p-B2-5, 9月2016年
  117. 山本泰史、大田晃生、池田弥央、牧原克典、宮崎誠一,「X線光電子分光法による熱酸化SiO2およびGeO2薄膜の誘電関数評価」第77回応用物理学会秋季学術講演会, (於 朱鷺メッセ), 15p-B9-13, 9月2016年
  118. 王亜萍、竹内大智、池田弥央、大田晃生、牧原克典、宮崎誠一,「Ta酸化物ナノドットの高密度・一括形成 (II) 」第77回応用物理学会秋季学術講演会, (於 朱鷺メッセ), 14a-D62-8, 9月2016年
  119. 河瀨平雅、牧原克典、大田晃生 、池田弥央、宮崎誠一,「FePtナノドットスタック構造における磁場印加後の電気伝導特性評価」第77回秋季応用物理学会, (於 朱鷺メッセ), 14a-D62-7, 9月2016年
  120. 渡辺浩成、大田晃生、牧原克典、池田弥央、宮崎誠一,「4H-SiC Si面およびC面上に成長した熱酸化膜の光電子収率分光法による電子占有欠陥評価」第77回秋季応用物理学会, (於 朱鷺メッセ), 16a-C302-4, 9月2016年
  121. グェンスァンチュン, 藤村信幸, 竹内大智, 大田晃生, 牧原克典, 池田弥央, 宮崎誠一,「リモート酸素プラズマ支援CVDによる低温SiO2薄膜形成」, シリコン材料・デバイス研究会(SDM), (於 キャンパス・イノベーションセンター東京), 6月2016年
  122. 藤村信幸、大田晃生、渡辺浩成、牧原克典、宮崎誠一,「XPSによるSiO2/半導体界面の電位変化およびダイポールの定量」電子情報通信学会(SDM) [シリコン材料・デバイス], (於 キャンパス・イノベーションセンター東京), SDM2016-40, 6月2016年
  123. グェンスァンチュン, 竹内大智, 大田晃生, 池田弥央, 牧原克典, 宮崎誠一, 「リモート水素プラズマ照射による4H-SiC(0001)の表面改質」電子デバイス界面テクノロジー研究会 (第21回研究会), (於 東レ研修センター), P-25, 1月2016年
  124. 藤村信幸、大田晃生、牧原克典、宮崎誠一,「Si, 4H-SiCおよびSiO2の価電子帯上端位置と電子親和力の評価」電子デバイス界面テクノロジー研究会 (第21回研究会), (於 東レ研修センター), P-25, 1月2016年
  125. 加藤祐介、大田晃生、牧原克典、宮崎誠一,「SiOx膜へのTiナノドットの埋め込みがその抵抗変化特性に与える影響」電子デバイス界面テクノロジー研究会 (第21回研究会), (於 東レ研修センター), P-24, 1月2016年
  126. 渡辺浩成、大田晃生、牧原克典、宮崎誠一,「SiO2/4H-SiC構造の電子障壁高さの決定と欠陥準位密度の深さ方向分析」電子デバイス界面テクノロジー研究会 (第21回研究会), (於 東レ研修センター), P-19, 1月2016年
  127. 竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一, 「Si量子ドット多重集積構造からの電子放出特性評価」第15回日本表面科学会中部支部研究会, (於 名古屋工業大学), 18, 12月2015年
  128. 張海、牧原克典、大田晃生、壁谷悠希、宮崎誠一,「リモート水素プラズマ支援による高密度形成したFe3Siナノドットの結晶構造および磁化特性評価」応用物理学会SC東海地区学術講演会2015, (於 名古屋大学), P19, 11月2015年
  129. 藤村信幸、大田晃生、牧原克典、宮崎誠一,「XPSによるSi系材料の電子親和力決定手法の検討」応用物理学会SC東海地区学術講演会2015, (於 名古屋大学), P17, 11月2015年
  130. 近藤圭悟、牧原克典、宮崎誠一,「GeコアSi量子ドットからのフォトルミネッセンス特性―温度依存性」応用物理学会SC東海地区学術講演会 2015, (於 名古屋大学), P18, 11月2015年
  131. 加藤祐介、大田晃生、牧原克典、宮崎誠一,「Tiナノドットの高密度一括形成とその埋め込みによる抵抗変化特性の向上」応用物理学会SC東海地区学術講演会2015, (於 名古屋大学), B9, 11月2015年
  132. 渡辺浩成、大田晃生、藤村信幸、牧原克典、宮崎誠一, 「X線光電子分光法によるSiO2/4H-SiCエネルギーバンドプロファイルの決定」応用物理学会SC東海地区学術講演会2015, (於 名古屋大学), P18, 11月2015年
  133. 張海、牧原克典、大田晃生、壁谷悠希、宮崎誠一,「リモート水素プラズマ支援による高密度形成したFeシリサイドナノドットの構造および磁化特性評価」第76回応用物理学会秋季学術講演会, (於 名古屋国際会議場), 16a-2R-2, 9月2015年
  134. 竹内大智、牧原克典、大田晃生、宮崎誠一,「P添加Si量子ドット多重集積構造の電界電子放出特性評価」第76回応用物理学会秋季学術講演会, (於 名古屋国際会議場), 16a-2D-6, 9月2015年
  135. 藤村信幸、大田晃生、牧原克典、宮崎誠一,「X線光電子分光法によるSiおよびSiO2の価電子帯上端位置の決定」第76回応用物理学会秋季学術講演会, (於 名古屋国際会議場), 16a-2D-9, 9月2015年
  136. 近藤圭悟、牧原克典、宮崎誠一,「GeコアSi量子ドットからの発光スペクトル―温度依存性」第76回応用物理学会秋季学術講演会, (於 名古屋国際会議場), 16a-2D-5, 9月2015年
  137. 満行優介、大田晃央、牧原克典、宮崎誠一,「KFMによるFePtナノドットスタック構造の局所帯電評価」第75回応用物理学会秋季学術講演会, (於 名古屋国際会議場),14a-2Q-4, 9月2015年
  138. 加藤祐介、荒井崇、大田晃生、牧原克典、宮崎誠一,「Ni/SiOx/Ti Nanodots/SiOx/Niダイオードの抵抗変化特性評価」第76回応用物理学会秋季学術講演会, (於 名古屋国際会議場), 15a-2H-3, 9月2015年
  139. 河瀨平雅、満行優介、大田晃生 、牧原克典 、宮崎誠一,「外部磁場が高密度FePtナノドットスタック構造の電子輸送特性に及ぼす影響」第76回応用物理学会秋季学術講演会, (於 名古屋国際会議場), 14a-2Q-3, 9月2015年
  140. 渡辺浩成、大田晃生、藤村信幸、牧原克典、宮崎誠一,「ウェット酸化により形成したSiO2/4H-SiCの電子状態評価」第76回応用物理学会秋季学術講演会, (於 名古屋国際会議場), 16-1A-1, 9月2015年
  141. 王亜萍、竹内大智、大田晃生、牧原克典、宮崎誠一,「Ta酸化物ナノドットの高密度一括形成」第76回応用物理学会秋季学術講演会, (於 名古屋国際会議場), 14a-2Q-2, 9月2015年
  142. 加藤祐介、荒井崇、大田晃生、牧原克典、宮崎誠一、「Tiナノドットを埋め込んだSiリッチ酸化膜の抵抗変化特性」電子情報通信学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2015-46, 6月2015年
  143. 渡辺浩成、大田晃生、牧原克典、宮崎誠一,「光電子分光法による熱酸化SiO2/4H-SiCの化学結合状態および欠陥準位密度評価」電子情報通信学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2015-44, 6月2015年
  144. 大田晃生、渡邊浩成、グェンスァンチュン、牧原克典、宮崎誠一,「光電子収率分光法によるSiO2/SiC構造の電子状態計測(2)」第62回春季応用物理学会, (於 東海大学), 13a-B4-4, 3月2015年
  145. 王亜萍、牧原克典、大田晃生、竹内大智、宮崎誠一,「リモート水素プラズマ支援によるTaナノドットの高密度一括形成」第62回春季応用物理学会, (於 東海大学), 11a-A20-4, 3月2015年
  146. グェンスァンチュン、大田晃生、竹内大智、張海、牧原克典、宮崎誠一,「リモート水素プラズマ処理した4H-SiC表面の化学構造および電子状態分析」第62回春季応用物理学会, (於 東海大学), 13a-B4-5, 3月2015年
  147. 壁谷悠希、満行優介、張海、大田晃生、牧原克典、宮崎誠一,「高密度FePtナノドットスタック構造の電子輸送特性」第62回春季応用物理学会, (於 東海大学), 12p-A20-7, 3月2015年
  148. 張海、牧原克典、大田晃生、壁谷悠希、宮崎誠一,「リモート水素プラズマ支援によるFeシリサイドナノドットの高密度一括形成と磁化特性評価」第62回春季応用物理学会, (於 東海大学), 13a-A25-10, 3月2015年
  149. 近藤圭悟、牧原克典、宮崎誠一,「P添加がGeコアSi量子ドットのPL特性に及ぼす影響」第62回春季応用物理学会, (於 東海大学), 11a-A27-12, 3月2015年
  150. 山田敬久、牧原克典、池田弥央、宮崎誠一,「不純物添加がSi量子ドット多重集積構造のEL特性に及ぼす影響」第62回春季応用物理学会, (於 東海大学), 11p-A25-12, 3月2015年
  151. 満行優介、壁谷悠希、張海、大田晃生、牧原克典、宮崎誠一,「外部磁場がFePt合金ナノドットへの電子注入特性に及ぼす影響」第62回春季応用物理学会, (於 東海大学), 12p-A20-8, 3月2015年
  152. 竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一,「Si量子ドット多重集積構造の電界電子放出特性評価」第62回春季応用物理学会, (於 東海大学), 11a-A27-12, 3月2015年
  153. 張海、牧原克典、大田晃生、池田弥央、宮崎誠一、「リモート水素プラズマ支援によるSiO2上へのFeシリサイドナノドットの高密度一括形成」第14回日本表面科学会中部支部研究会,(於 名古屋大学) , 20, 12月2014年
  154. 荒井崇、大田晃生、牧原克典、宮崎誠一、「Mnナノドットを埋め込んだSiOx-Ni電極MIMダイオードの抵抗変化特性」第14回日本表面科学会中部支部研究会,(於 名古屋大学) , 20, 12月2014年
  155. 荒井崇、大田晃生、牧原克典、宮崎誠一、「Si-rich酸化膜へのMnナノドット埋め込みが抵抗変化特性へ及ぼす影響」ゲートスタック研究会 (第20回研究会), (於 東レ研修センター), P-7, 1月2015年
  156. 竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一,「Si量子ドット多重集積構造からの電界電子放出特性評価」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), A4, 11月2014年
  157. 壁谷悠希、張海、福岡諒、大田晃生、牧原克典、宮崎誠一,「CoPt合金ナノドット/極薄SiO2層における電子輸送特性の外部磁場依存性」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), A11, 11月2014年
  158. グェンスァンチュン、大田晃生、竹内大智、牧原克典、宮崎誠一,「リモートH2プラズマ処理が4H-SiC(0001)の表面マイクロラフネス及び欠陥準位密度に与える影響」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), B16, 11月2014年
  159. 近藤圭悟、牧原克典、池田弥央、宮崎誠一,「GeコアSi量子ドットのフォトルミネッセンス特性評価」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), P18, 11月2014年
  160. 山田敬久、牧原克典、池田弥央、宮崎誠一,「不純物添加Si量子ドット多重集積構造のエレクトロルミネッセンス特性評価」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), P19, 11月2014年
  161. 張海、牧原克典、大田晃生、池田弥央、宮崎誠一,「リモート水素プラズマ支援によるSiO2上へのFeシリサイドドットの高密度形成」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), P26, 11月2014年
  162. 満行優介、張海、牧原克典、大田晃生、徳岡良浩、加藤剛志、岩田聡、宮崎誠一,「FePt合金ナノドットの高密度形成と磁化特性評価」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), P27, 11月2014年
  163. 加藤祐介、劉冲、荒井崇、大田晃生、竹内大智、張海、牧原克典、宮崎誠一,「Niナノドットを電極に用いたSiOx-ReRAMの抵抗変化特性」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), P28, 11月2014年
  164. 荒井崇、劉冲、大田晃生、牧原克典、宮崎誠一,「Mnナノドットを埋め込んだSiリッチ酸化膜の抵抗変化特性」応用物理学会SC東海地区学術講演会2014, (於 名古屋大学), P29, 11月2014年
  165. 大田晃生、竹内大智、グェンスァンチュン、牧原克典、宮崎誠一,「光電子収率分光法によるSiO2/SiC界面の電子状態計測」第75回秋季応用物理学会, (於 北海道大学), 18p-A17-2, 9月2014年
  166. 山田敬久、牧原克典、池田弥央、宮崎誠一,「不純物添加Si量子ドット多重集積構造のエレクトロルミネッセンス」第75回秋季応用物理学会, (於 北海道大学), 19p-A15-5, 9月2014年
  167. 温映輝、牧原克典、大田晃生、宮崎誠一,「リモート水素プラズマ支援によるMn-Ge系ナノドットの高密度一括形成」第75回秋季応用物理学会, (於 北海道大学), 19p-A6-16, 9月2014年
  168. 荒井崇、大田晃生、牧原克典、宮崎誠一,「Mnナノドット埋め込みSiリッチ酸化膜の抵抗変化特性」第75回秋季応用物理学会, (於 北海道大学), 20a-A10-3, 9月2014年
  169. 竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一,「P添加Si量子ドット多重集積構造の電界電子放出特性」第75回秋季応用物理学会, (於 北海道大学), 19p-A15-4, 9月2014年
  170. 壁谷悠希、大田晃生、牧原克典、宮崎誠一,「FePtナノドット/極薄SiO2層における電子輸送特性の外部磁場依存性」第75回秋季応用物理学会, (於 北海道大学), 19p-A6-15, 9月2014年
  171. 浜田慎也、村上秀樹、小野貴寛、橋本邦明、大田晃生、花房宏明、東清一郎、宮崎誠一, 「Ge 基板中のAs高効率活性化と低抵抗浅接合形成」電気通信情報学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2014-48, 6月2014年
  172. 荒井崇、大田晃生、牧原克典、宮崎誠一,「Mnナノドットを埋め込んだNi/SiOx/Ni構造の抵抗変化特性」電気通信情報学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー), SDM2014-50, 6月2014年
  173. 大田晃生、劉冲、荒井崇、竹内大智、張海、牧原克典、宮崎誠一,「ナノドットを電極に用いたNi/SiOx/Niダイオードの抵抗変化特性評価」電気通信情報学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2014-56, 6月2014年
  174. 壁谷悠希、張海、福岡諒、牧原克典、宮崎誠一、「磁性AFM探針を用いたCoPt合金ナノドットの電子輸送特性評価-外部磁場依存性」第61回春季応用物理学会, (於 青山学院大学), 17a-F10-3, 3月2014年
  175. 劉冲、荒井崇、大田晃生、竹内大智、張海、牧原克典、宮崎誠一、「Niナノドット電極を用いたSiOx薄膜の抵抗変化特性」第61回春季応用物理学会, (於 青山学院大学), 17p-PG2-2, 3月2014年
  176. 福岡諒、張海、牧原克典、大田晃生、徳岡良浩、加藤剛志、岩田聡、宮崎誠一、「FePt合金ナノドットの構造および磁化特性評価」第61回春季応用物理学会, (於 青山学院大学), 18a-F11-2, 3月2014年
  177. 荒井崇、劉冲、大田晃生、牧原克典、宮崎誠一、「Mnナノドットを埋め込んだSiOx MIM構造の局所電気伝導解析」第61回春季応用物理学会, (於 青山学院大学), 18p-PA11-4, 3月2014年
  178. 恒川直輝、牧原克典、池田弥央、宮崎誠一、「AFM/KFMによる自己整合一次元連結Si量子ドットの局所帯電評価」第61回春季応用物理学会, (於 青山学院大学), 19a-D9-11, 3月2014年
  179. 温映輝、牧原克典、大田晃生、池田弥央、宮崎誠一、「リモート水素プラズマ支援によるMnおよびMnジャーマナイドナノドットの高密度一括形成」第61回春季応用物理学会, (於 青山学院大学), 19p-D9-10, 3月2014年
  180. 近藤圭悟、鈴木善久、牧原克典、池田弥央、小山剛志、岸田英夫、宮崎誠一、「P添加GeコアSi量子ドットのフォトルミネッセンス特性評価」第61回春季応用物理学会, (於 青山学院大学), 19p-D9-11, 3月2014年
  181. 荒井崇、劉冲、大田晃生、牧原克典、宮崎誠一、「Mnナノドットを埋め込んだSiOx 膜の抵抗変化特性」第61回春季応用物理学会, (於 青山学院大学), 19p-E8-11, 3月2014年
  182. 張海、牧原克典、大田晃生、池田弥央、宮崎誠一、「リモート水素プラズマ支援によるFeシリサイドナノドットの高密度形成」第61回春季応用物理学会, (於 青山学院大学), 19p-D3-12, 3月2014年
  183. 山田敬久、牧原克典、鈴木善久、池田弥央、宮崎誠一、「P/N制御Si量子ドット多重集積構造のエレクトロルミネッセンス」第61回春季応用物理学会, (於 青山学院大学), 19p-D9-12, 3月2014年
  184. 竹内大智、牧原克典、大田晃生、池田弥央、宮崎誠一、「導電性AFM探針による高密度一次元連結Si系量子ドットからの電子放出特性評価(Ⅱ)」第61回春季応用物理学会, (於 青山学院大学), 19p-D9-13, 3月2014年
  185. 牧原 克典、宮崎 誠一、「金属合金化反応制御による強磁性ナノドットの高密度・自己組織化形成」名古屋大学ナノテクノロジープラットフォーム第1回合同シンポジウム~中部ものづくりは名大から~, (名古屋大学), 2月2014年
  186. 大田 晃生、村上 秀樹、牧原 克典、宮崎 誠一、「光電子エネルギー損失信号による極薄酸化物のエネルギーバンドギャップの決定手法の再検討」ゲートスタック研究会 (第19回研究会), (於ニューウェルシティー湯河原), P-16, 1月2014年
  187. 荒井 崇、大田 晃生、福嶋 太紀、牧原 克典、宮崎 誠一、「SiOx/TiO2積層したTi電極MIMダイオードの抵抗スイッチング」第13回日本表面科学会中部支部研究会,(於 名古屋工業大学) , 10, 12月2013年
  188. 張 海、福岡 諒、壁谷 悠希、牧原 克典、宮崎 誠一、「リモート水素プラズマ支援によるSiO2上へのFeナノドットの高密度・一括形成」第13回日本表面科学会中部支部研究会,(於 名古屋工業大学) , 12, 12月2013年
  189. 山田 敬久、牧原 克典、鈴木 善久、宮崎 誠一、「B添加Si量子ドット多重集積構造のエレクトロルミネッセンス」応用物理学会SC東海地区学術講演会2013, (於 名古屋大学), A3, 11月2013年
  190. 壁谷 悠希、張 海、福岡 諒、牧原 克典、宮崎 誠一、「外部磁場印加がCoPt合金ナノドットの電気伝導特性に及ぼす影響」応用物理学会SC東海地区学術講演会2013, (於 名古屋大学), A9, 11月2013年
  191. 鈴木 善久、牧原 克典、池田 弥央、宮崎 誠一、「一次元連結Si系量子ドットの電界発光減衰特性」応用物理学会SC東海地区学術講演会2013, (於 名古屋大学), P1, 11月2013年
  192. 恒川 直輝、牧原 克典、池田 弥央、宮崎 誠一、「AFM/KFMによる一次元連結・高密度Si系量子ドットにおける帯電電荷の経時変化計測」応用物理学会SC東海地区学術講演会2013, (於 名古屋大学), P2, 11月2013年
  193. 竹内 大智、牧原 克典、池田 弥央、宮崎 誠一、可貴 裕和、林 司、「導電性AFM探針によるSiナノ結晶/柱状Siナノ構造からの電子放出特性評価」応用物理学会SC東海地区学術講演会2013, (於 名古屋大学), P3, 11月2013年
  194. 張 海、福岡 諒、壁谷 悠希、牧原 克典、宮崎 誠一、「リモート水素プラズマ支援によるSiO2上へのFeナノドットの高密度・一括形成」応用物理学会SC東海地区学術講演会2013, (於 名古屋大学), P21, 11月2013年
  195. 福岡 諒、張 海、牧原 克典、宮崎 誠一、「リモート水素プラズマ支援によるFePt合金ナノドットの高密度・一括形成と磁化特性評価」応用物理学会SC東海地区学術講演会2013, (於 名古屋大学), P22, 11月2013年
  196. 竹内大智、牧原克典、池田弥央、宮崎誠一、「導電性AFM探針による高密度一次元連結Si系量子ドットからの電子放出特性評価」第74回秋季応用物理学会, (於 同志社大学), 20a-C9-5, 9月2013年
  197. 張海、福岡諒、壁谷悠希、牧原克典、宮崎誠一、「リモート水素プラズマ支援によるSiO2上へのFeナノドットの高密度形成」第74回秋季応用物理学会, (於 同志社大学), 20a-C11-4, 9月2013年
  198. 鈴木善久、牧原克典、池田弥央、宮崎誠一、「バイアス印加が一次元連結Si系量子ドットのPL特性に及ぼす影響」第74回秋季応用物理学会, (於 同志社大学), 20a-C9-3, 9月2013年
  199. 壁谷悠希、福岡諒、張海、牧原克典、宮崎誠一、「外部磁場印加がCoPt合金ナノドットの電子輸送特性に及ぼす影響」第74回秋季応用物理学会, (於 同志社大学), 19p-C11-4, 9月2013年
  200. 福岡諒、張海、壁谷悠希、恒川直輝、牧原克典、大田晃生、宮崎誠一、「リモート水素プラズマ支援によるFePt合金ナノドットの高密度形成と磁化特性評価」第74回秋季応用物理学会, (於 同志社大学), 19p-C11-3, 9月2013年
  201. 牧原克典、福岡諒、張海、壁谷悠希、大田晃生、宮崎誠一,「リモートH2プラズマ支援によるCoPtナノドットの高密度形成と帯電・帯磁特性評価 」,電気通信情報学会(SDM) [シリコン材料・デバイス],(於 機械振興会館),SDM2013-53,6月2013年
  202. 大田晃生、福嶋太紀、牧原克典、村上秀樹、東清一郎、宮崎誠一,「SiOx/TiO2積層したMIMダイオードにおける抵抗変化特性評価 」,電気通信情報学会(SDM) [シリコン材料・デバイス],(於 機械振興会館),SDM2013-56,6月2013年
  203. 竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司、「導電性AFM探針を用いたSiナノ結晶/柱状Siナノ構造の電子放出特性評価」第60回春季応用物理学会, (於 神奈川工科大学), 27p-B8-3, 3月2013年
  204. 福岡諒、張海、壁谷悠希、牧原克典、大田晃生、宮崎誠一、「リモート水素プラズマ支援によるCoPt合金ナノドットの高密度形成」第60回春季応用物理学会, (於 神奈川工科大学), 27p-B8-7, 3月2013年
  205. 壁谷悠希、張海、福岡諒、牧原克典、宮崎誠一、「CoPt合金ナノドットの帯磁特性評価」第60回春季応用物理学会, (於 神奈川工科大学), 27p-B8-8, 3月2013年
  206. 福嶋太紀、大田晃生、牧原克典、宮崎誠一、「Ti電極MIMダイオードにおけるSiOx/TiO2多重積層の抵抗変化特性評価」第60回春季応用物理学会, (於 神奈川工科大学), 27p-F2-15, 3月2013年
  207. 盧義敏、高金、牧原克典、酒池耕平、藤田悠二、池田弥央、大田晃生、東清一郎、宮崎誠一、「Niナノドットによる初期核発生制御を活用した高結晶性Si:H/Ge:Hヘテロ結合の低温堆積」第60回春季応用物理学会, (於 神奈川工科大学), 28a-A3-9, 3月2013年
  208. 竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司、「非接触AFMによるSiナノ結晶/柱状Siナノ構造からの電子放出メカニズム解析」第60回春季応用物理学会, (於 神奈川工科大学), 29a-G6-4, 3月2013年
  209. 鈴木善久、牧原克典、高見弘貴、池田弥央、宮崎誠一、「パルスバイアス印加が一次元連結 Si 系量子ドットの電界発光に及ぼす影響」第60回春季応用物理学会, (於 神奈川工科大学), 29a-G6-5, 3月2013年
  210. 牧原 克典、池田 弥央、宮崎 誠一、「自己組織化形成Si 系量子ドットの選択成長」第60回春季応用物理学会, (於 神奈川工科大学), 29a-G6-6, 3月2013年
  211. 新美博久、 牧原克典、 池田弥央、 宮崎誠一、「縦積み連結 Si 系量子ドットの超高密度集積構造における電子輸送特性」第60回春季応用物理学会, (於 神奈川工科大学), 29a-G6-9, 3月2013年
  212. 山田 敬久、牧原 克典、高見 弘貴、鈴木 善久、池田 弥央、宮崎 誠一、「多重集積したB 添加量子ドットのエレクトロルミネッセンス特性評価」第60回春季応用物理学会, (於 神奈川工科大学), 29a-G6-10, 3月2013年
  213. 福島太紀、大田晃生、牧原克典、宮崎誠一, 「スパッタ形成したTiN/SiOx/TiNダイオードの電気抵抗スイッチング特性評価」ゲートスタック研究会(第18回研究会),(於 湯河原), 1月2013年
  214. 大田晃生、牧原克典、池田弥央、村上秀樹、東清一郎、宮崎誠一, 「スパッタ形成したPt/SiOx/Pt構造の光電子分光分析」ゲートスタック研究会(第18回研究会),(於 湯河原), 1月2013年
  215. 竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司, 「導電性AFM探針を用いたSiナノ結晶/柱状Siナノ構造からの電子放出検出」,第12回日本表面科学会中部支部研究会, (於名城大学), 23, 12月2012年
  216. 福島太紀、大田晃生、牧原克典、宮崎誠一, 「Ti系電極を用いたSiOx膜の化学構造分析と抵抗スイッチング特性評価」,応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-], (於 岐阜大学), P-06, 9月2012年
  217. 竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司, 「導電性AFM探針による極薄Au/柱状Siナノ構造からの電子放出検出」,応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-], (於 岐阜大学), P-07, 9月2012年
  218. 張海、市村正也、牧原克典、宮崎誠一, 「溶液ペーストによる無機材料を用いた太陽電池の作製」, 応用物理学会・東海支部第19回基礎セミナー [透明導電膜-基礎から応用-], (於 岐阜大学), P-13, 9月2012年
  219. 高金、牧原克典、池田弥央、福嶋太紀、宮崎誠一,「NiナノドットがGe:H薄膜堆積及び電気伝導特性に及ぼす影響」,第73回秋季応用物理学会, (於 愛媛大学), 12a-F7-7, 9月2012年
  220. 高金、牧原克典、高見弘貴、竹内大智、酒池耕平、林 将平、池田弥央、東清一郎、宮崎誠一,「導電性AFM探針によるNiナノドット上に形成した高結晶性Ge:H薄膜の局所伝導評価」,第73回秋季応用物理学会, (於 愛媛大学), 12a-F7-8, 9月2012年
  221. 張海、市村正也、牧原克典、宮崎誠一,「溶液ペーストによるCuO/ZnOヘテロ構造太陽電池の作成」,第73回秋季応用物理学会, (於 愛媛大学), 13a-H8-18, 9月2012年
  222. 恒川直輝、牧原克典、池田弥央、宮崎誠一,「AFM/KFMによる一次元連結Si系量子ドットの帯電電荷分布計測」,第73回秋季応用物理学会, (於 愛媛大学), 13p-F5-12, 9月2012年
  223. 竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司,「導電性AFM探針によるSiナノ結晶/柱状Siナノ構造からの電子放出検出」,第73回秋季応用物理学会, (於 愛媛大学), 13p-F5-14, 9月2012年
  224. 鈴木善久、牧原克典、高見弘貴、池田弥央、宮崎誠一,「パルスバイアス印加による一次元連結Si系量子ドットの電界発光評価」,第73回秋季応用物理学会, (於 愛媛大学), 13p-F5-16, 9月2012年
  225. 高見弘貴、牧原克典、池田弥央、宮崎誠一,「電圧パルス幅が一次元連結Si系量子ドットダイオード構造のEL特性に及ぼす影響」,第73回秋季応用物理学会, (於 愛媛大学), 13p-F5-18, 9月2012年
  226. 福嶋太紀、太田晃生、牧原克典、宮崎誠一,「Ti系電極を用いたSiリッチ酸化層の抵抗変化特性評価」,第73回秋季応用物理学会, (於 愛媛大学), 14a-C13-6, 9月2012年
  227. 福嶋太紀、大田晃生、牧原克典、宮崎誠一,「Pt/SiOx/TiNダイオード構造の化学構造分析と電気抵抗スイッチング特性評価」,電気通信情報学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2012-1,6月2012年
  228. 池田弥央、牧原克典、宮崎誠一,「Si量子ドット/NiSiナノドットハイブリッド積層フローティングゲートMOS構造における光励起キャリア移動」,電気通信情報学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2012-3,6月2012年
  229. 村上秀樹、三嶋健斗、大田晃生、橋本邦明、東清一郎、宮崎誠一,「TaOx層挿入によるHfO2/Ge界面反応制御」,電気通信情報学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2012-7,6月2012年
  230. 大田晃生、松井真史、村上秀樹、東清一郎、宮崎誠一,「極薄層挿入によるAl/Ge接合の伝導特性制御」,電気通信情報学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2012-12,6月2012年
  231. 小野貴寛、大田晃生、村上秀樹、東清一郎、宮崎誠一,「As+イオン注入したゲルマニウム層の化学分析」,電気通信情報学会(SDM) [シリコン材料・デバイス],(於 名古屋、名古屋大学ベンチャー・ビジネス・ラボラトリー),SDM2012-14,6月2012年
  232. [招待講演]牧原克典、池田弥央、宮崎誠一,「一次元縦積みシリコン系量子ドットの形成と発光ダイオードへの応用」,シリコン材料・デバイス研究会(SDM)/電子デバイス研究会(ED)/電子部品・材料研究会(CPM) [結晶成長,評価及びデバイス(化合物,Si,SiGe,電子・光材料)],(於 豊橋、豊橋技術科学大学ベンチャー・ビジネス・ラボラトリー),ED2012-17,5月2012年
  233. 竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林 司,「柱状Siナノ構造における局所電気伝導と電子放出特性評価」,シリコン材料・デバイス研究会(SDM)/電子デバイス研究会(ED)/電子部品・材料研究会(CPM) [結晶成長,評価及びデバイス(化合物,Si,SiGe,電子・光材料)],(於 豊橋、豊橋技術科学大学ベンチャー・ビジネス・ラボラトリー),ED2012-36,5月2012年
  234. 福嶋太紀、大田晃生、牧原克典、宮崎誠一,「Ptナノドット電極を用いたSiOx膜の抵抗変化特性評価」,第59回春季応用物理学会, (於 早稲田大学), 16p-F6-12, 3月2012年
  235. 福嶋太紀、大田晃生、牧原克典、宮崎誠一,「Pt/SiOx/TiNダイオード構造の抵抗変化特性評価」,第59回春季応用物理学会, (於 早稲田大学), 16p-F6-11, 3月2012年
  236. 高見弘貴、牧原克典、出木秀典、池田弥央、宮崎誠一,「一次元連結Si系量子ドットのEL特性評価」,第59回春季応用物理学会, (於 早稲田大学), 18p-A1-5, 3月2012年
  237. 高金、牧原克典、酒池耕平、林将平、出木秀典、池田弥央、東清一郎、宮崎誠一,「GeH4 VHF-ICPからの高結晶性Ge:H薄膜堆積―Niナノドットを用いた結晶核発生制御―」,第59回春季応用物理学会, (於 早稲田大学), 16a-B6-5, 3月2012年
  238. 牧原克典、山根雅人、池田弥央、東清一郎、宮崎誠一,奨励賞受賞記念講演:「熱プラズマジェットを用いたミリ秒熱処理によるPt およびPt シリサイドナノドットの形成とフローティングゲートメモリ応用」,第59回春季応用物理学会, (於 早稲田大学), 18a-B3-1, 3月2012年
  239. 竹内大智、牧原克典、池田弥央、宮崎誠一、可貴裕和、林司,「導電性AFM 探針による極薄Au/柱状Si ナノ構造の局所電気伝導評価」,第59回春季応用物理学会, (於 早稲田大学), 18a-A1-4, 3月2012年
  240. 牧原克典、恒川直輝、池田弥央、宮崎誠一,「AFM/KFM による一次元連結・高密度Si 系量子ドットの帯電状態の経時変化計測」,第59回春季応用物理学会, (於 早稲田大学), 18p-A1-8, 3月2012年
  241. 牧原克典、池田弥央、宮崎誠一,「一次元縦積み連結Si 系量子ドットの室温共鳴トンネル伝導」,第59回春季応用物理学会, (於 早稲田大学), 18p-A1-7, 3月2012年
  242. 池田弥央、牧原克典、宮崎誠一,「Si 量子ドット/NiSi ナノドットハイブリッドフローティングゲートにおける光励起電子のパルス電圧応答」,第59回春季応用物理学会, (於 早稲田大学), 18a-GP6-11, 3月2012年
  243. 大田晃生、牧原克典、池田弥央、村上秀樹、東清一郎、宮崎誠一,「Pt/SiOx/Pt 構造における抵抗変化特性」,第59回春季応用物理学会, (於 早稲田大学), 16p-F6-10, 3月2012年
  244. 近藤博基、安田幸司、牧原克典、宮崎誠一、平松美根男、関根誠、堀勝,「走査プローブ顕微鏡によるカーボンナノウォールの初期成長過程の解明」,第59回春季応用物理学会, (於 早稲田大学), 16a-A3-11, 3月2012年
  245. 大塚慎太郎、古屋沙絵子、清水智弘、新宮原正三、牧原克典、宮崎誠一、渡辺忠孝、高野良紀、高瀬浩一,「酸化アルミニウムを用いた抵抗変化メモリのスイッチング電圧のばらつき抑制」,第59回春季応用物理学会, (於 早稲田大学), 16a-A3-11, 3月2012年
  246. 牧原克典、池田弥央、山根雅人、東清一郎、宮崎誠一, 「プラズマジェット急速熱処理による高密度Ptナノドット形成とフローティングゲートメモリ応用」,第72回秋季応用物理学会, (於 山形大学), 2p-ZQ-3, 9月2011年
  247. 牧原克典、出木秀典、池田弥央、宮崎誠一, 「KFMによる微結晶ゲルマニウム薄膜の局所帯電評価」,第72回秋季応用物理学会, (於 山形大学), 1p-J-9, 9月2011年
  248. 高金、牧原克典、大田晃生、池田弥央、宮崎誠一、可貴裕和、林司, 「導電性AFMによる柱状Siナノ構造における電気伝導特性評価」,第72回秋季応用物理学会, (於 山形大学), 2a-J-2, 9月2011年
  249. 高見弘貴、牧原克典、出木秀典、池田弥央、宮崎誠一, 「一次元連結・高密度Si系量子ドットにおけるEL発光」,第72回秋季応用物理学会, (於 山形大学), 2a-J-3, 9月2011年
  250. 牧原克典、池田弥央、大田晃生、川浪彰、宮崎誠一, 「自己整合一次元連結Si量子ドットの形成」,第71回秋季応用物理学会, (於 長崎大学), 14p-ZD-8, 9月2010年
  251. 松本竜弥、東清一郎、牧原克典、赤澤宗樹、宮崎誠一, 「微小融液滴下による疑似エピタキシャルGe / Siの形成」,第71回秋季応用物理学会, (於 長崎大学), 16p-ZD-4, 9月2010年
  252. 池田弥央、中西翔、森澤直也、川浪彰、牧原克典、宮崎誠一,「PtSiナノドット/Si量子ドット積層ハイブリッドフローティングゲートにおける多段階電子注入特性」,第71回秋季応用物理学会, (於 長崎大学), 17p-ZE-2, 9月2010年
  253. 牧原克典、池田弥央、大田晃生、川浪彰、宮崎誠一, 「Si熱酸化膜上へのGe量子ドットの高密度形成」,第57回春季応用物理学会, (於 東海大学), 19a-C-4 , 3月2010年
  254. 川浪彰、牧原克典、池田弥央、芦原龍平、宮崎誠一, 「Coナノドットの帯電および帯磁評価」,第57回春季応用物理学会, (於 東海大学), 19a-C-5, 3月2010年
  255. 森澤直也、池田弥央、中西翔、川浪彰、牧原克典、東清一郎、宮崎誠一, 「Si量子ドット/NiSiナノドットハイブリッドフローティングゲートの光応答特性」, 第57回春季応用物理学会, (於 東海大学), 17p-B-1, 3月2010年
  256. 芦原龍平, 牧原克典、川浪彰、池田弥央、大田晃生、宮崎誠一,「リモート水素プラズマ支援によるPtAlナノドットの形成」, 第57回春季応用物理学会, (於 東海大学), 19a-C-3, 3月2010年
  257. 宮崎裕介、牧原克典、池田弥央、東清一郎、宮崎誠一,「Pt/a-Ge:Hのリモート水素プラズマ処理によるPtGe薄膜形成」,第57回春季応用物理学会, (於 東海大学), 17a-D-9, 3月2010年
  258. 大田晃生、牧原克典、宮崎誠一、櫻庭政夫、室田淳一, 「SiO2/Si/SiGe0.5/Siヘテロ構造の価電子帯オフセット評価」, 第57回春季応用物理学会, (於 東海大学), 18p-C-6 , 3月2010年
  259. 尉国浜、大田晃生、牧原克典、宮崎誠一, 「The Effect of Anneal Ambient on Resistive Switching Properties with Pt/TiO2/Pt Structure」, 第57回春季応用物理学会, (於 東海大学), 17p-B-8, 3月2010年
  260. Siti Kudnie Sahari、村上秀樹、藤岡知宏、坂東竜也、大田晃生、牧原克典、東清一郎、宮崎誠一, 「Temporal Change in the Native Oxidation of Chemically-cleaned Ge(100) Surfaces」, 第57回春季応用物理学会, (於 東海大学), 18p-P9-2, 3月2010年
  261. 村口正和、高田幸宏、櫻井蓉子、野村晋太郎、白石賢二、牧原克典、池田弥央、宮崎誠一、重田育照、遠藤哲郎, 「2次元電子ガス―量子ドット界面における電子トンネル過程に対する微視的考察」, 日本物理学会第65回年次大会, (於 岡山大学), 21aHV-13, 3月2010年
  262. 川浪 彰、牧原克典、池田弥央、東清一郎、宮崎誠一, 表面前処理がリモート水素プラズマ支援金属マイグレーションに及ぼす影響, 第70回秋季応用物理学会, 9p-TB-9, 富山, 9月2009年
  263. 川浪 彰、牧原克典、池田弥央、東清一郎、宮崎誠一, リモート水素プラズマ支援によるCoおよびCoシリサイドナノドット形成, 第70回秋季応用物理学会, 9p-TB-8, 富山, 9月2009年
  264. 森澤直也、池田弥央、中西翔、川浪彰、牧原克典、東清一郎、宮崎誠一, Si量子ドット/NiSiナノドットハイブリッド積層FG-MOS構造における光誘起電荷移動, 第70回秋季応用物理学会, 9a-TG-11, 富山, 9月2009年
  265. 中西 翔、池田弥央、森澤直也、牧原克典、川浪彰、東清一郎、宮崎誠一, NiSiナノドット/Si量子ドット積層ハイブリッドフローティングゲートにおける電荷注入・放出特性, 第70回秋季応用物理学会, 9a-TA-8, 富山, 9月2009年
  266. 宮崎佑介、牧原克典、川浪彰、岡田竜也、池田弥央、東清一郎、宮崎誠一, リモート水素プラズマ支援による表面Pt被覆したa-Ge薄膜の局所結晶化, 第70回秋季応用物理学会, 9a-TG-10, 富山, 9月2009年
  267. S. Mahboob,K. Makihara,M. Ikeda,S. Higashi,S. Miyazaki,Y. Hata and A. Kuroda, Surface Potential Changes Induced by Physisorption of Silica Binding Protein-Protein A on Thermally Grown SiO2/Si(111) Surface, 第56回春季応用物理学会, 31p-ZA-9, 茨城, 3月2009年
  268. 池田弥央、牧原克典、島ノ江和広、川浪彰、中西翔、森澤直也、藤本淳仁、大田晃生、貫目大介、宮崎誠一, HfO2コントロール酸化膜を有するNiSiドット/Si量子ドット積層ハイブリッドフローティングゲートMOSキャパシタにおける電子注入特性, 第56回春季応用物理学会, 2p-V-5, 茨城, 3月2009年
  269. 島ノ江和広、川浪 彰、藤本淳仁、牧原克典、池田弥央、東清一郎、宮崎誠一, リモートプラズマ支援による金属ナノドット形成メカニズム, 第56回春季応用物理学会, 2a-P17-11, 茨城, 3月2009年
  270. 牧原克典、池田弥央、川浪 彰、東清一郎、宮崎誠一, 超高密度Si量子ドットにおける二次元電気伝導, 第56回春季応用物理学会, 1a-P13-16, 茨城, 3月2009年
  271. 櫻井蓉子、野村晋太郎、白石賢二、村口正和、遠藤哲郎、池田弥央、牧原克典、宮崎誠一, Si量子ドットフローティングゲートMOSキャパシタにおける過渡電流特性, 第56回春季応用物理学会, 2p-V-6, 茨城, 3月2009年
  272. 野村晋太郎、櫻井蓉子、高田幸宏、白石賢二、村口正和、遠藤哲郎、池田弥央、牧原克典、宮崎誠一, 電子励起状態を介した量子ドットへのトンネル現象の変調, 第56回春季応用物理学会, 1p-D-5, 茨城, 3月2009年
  273. 村口正和、遠藤哲郎、宮崎誠一、牧原克典、池田弥央、野村晋太郎、櫻井蓉子、高田幸宏、白石賢二, 少数電子で動く未来デバイスの姿 –量子電子ダイナミクスからのメッセージ- , 第56回春季応用物理学会, 1p-ZT-9, 茨城, 3月2009年
  274. 村口正和、遠藤哲郎、櫻井蓉子、野村晋太郎、高田幸宏、白石賢二、池田弥央、牧原克典、宮崎誠一、斉藤慎一, 電子ガス-量子ドット結合系における電子ダイナミクスII, 日本物理学会第64回年次大会, 東京, 3月2009年
  275. 櫻井蓉子、野村晋太郎、高田幸宏、白石賢二、村口正和、遠藤哲郎、池田弥央、牧原克典、宮崎誠一, 電子ガス―量子ドット結合系におけるC-V特性およびI-V特性のSweep Rate依存性, 日本物理学会第64回年次大会, 東京, 3月2009年
  276. 高田幸宏、櫻井蓉子、村口正和、池田弥央、牧原克典、宮崎誠一、遠藤哲郎、野村晋太郎、白石賢二, 電子ガス-量子ドット結合系における電子構造Ⅱ, 日本物理学会第64回年次大会, 東京, 3月2009年
  277. 櫻井蓉子、野村晋太郎、白石賢二、池田弥央、牧原克典、宮崎誠一, 量子ドットフローティングメモリの低温におけるC-V特性, 日本物理学会 2008年秋季大会, 21aYF-13, 岩手, 9月2008年
  278. 櫻井蓉子、野村晋太郎、白石賢二、池田弥央、牧原克典、宮崎誠一 , 量子ドットフローティングメモリの低温におけるC-V特性", 第69回秋季応用物理学会, 3a-E-11, p.765, 名古屋, 9月2008年
  279. 高田幸宏、村口正和、櫻井蓉子、野村晋太郎、池田弥央、牧原克典、宮崎誠一、白石賢二, シリコン量子ドットフローティングゲート型メモリの理論的考察, 第69回秋季応用物理学会, 3a-E-10, p.764, 名古屋, 9月2008年
  280. 池田弥央、島ノ江和広、牧原克典、宮崎誠一, NiナノドットフローティングゲートMOSキャパシタにおける電荷保持特性, 第69回秋季応用物理学会, 3a-E-9, p.764, 名古屋, 9月2008年
  281. 川浪彰、牧原克典、池田弥央、東清一郎、宮崎誠一, Si量子ドットの核発生制御と高密度形成, 第69回秋季応用物理学会, 3a-G-6, p.685, 名古屋, 9月2008年
  282. 島ノ江和広、牧原克典、池田弥央、東清一郎、宮崎誠一, リモートプラズマ支援による金属ナノドット形成-希ガスプラズマと水素プラズマの比較, 第69回秋季応用物理学会, 3a-G-7, p.685, 名古屋, 9月2008年
  283. 牧原克典、Syed Mahboob、池田弥央、東清一郎、宮崎誠一、黒田章夫, AFM/KFMによる水素終端Si表面およびSi熱酸化膜表面に吸着したSi結合タンパク質の電気的検出, 第69回秋季応用物理学会, 3p-P10-16, p.1098, 名古屋, 9月2008年
  284. 小埜芳和、加久博隆、牧原克典、東清一郎、宮崎誠一, GeH4 VHF-ICP による高結晶性Ge:H 膜の高速堆積, 第55回春季応用物理学会, 28p-ZR-10, p.814, 千葉, 3月2008年
  285. 松本龍児、池田弥央、牧原克典、島ノ江和広、東清一郎、宮崎誠一, NiSiドット/Si量子ドット積層ハイブリッドフローティングゲートMOSキャパシタにおける電荷保持特性, 第55回春季応用物理学会, 27a-P2-6, p.904, 千葉, 3月2008年
  286. 松本龍児、池田弥央、牧原克典、島ノ江和広、東清一郎、宮崎誠一, NiSiドット/Si量子ドット積層ハイブリッドフローティングゲートMOSキャパシタにおける電子注入・放出特性, 第55回春季応用物理学会, 27a-P2-5, p.903, 千葉, 3月2008年
  287. 島ノ江和広、牧原克典、池田弥央、松本龍児、東清一郎、宮崎誠一, リモート水素プラズマ支援によるPd ナノドット形成, 第55回春季応用物理学会, 30a-ZR-11, p.823, 千葉, 3月2008年
  288. 牧原克典、大田晃生、松本龍児、池田弥央、島ノ江和広、東清一郎、宮崎誠一, リモート水素プラズマ支援により形成したNiシリサイドナノドットの化学結合状態および電子状態評価, 第55回春季応用物理学会, 30a-ZR-9, p.822, 千葉, 3月2008年
  289. 西原良祐、牧原克典、池田弥央、松本龍児、東清一郎、宮崎誠一, AFM/KFMによる高密度Ptシリサイドナノドットの帯電状態計測, 第55回春季応用物理学会, 30a-ZR-8, p.822, 千葉, 3月2008年
  290. 川浪彰、牧原克典、池田弥央、松本龍児、山本雄治、東清一郎、宮崎誠一, SiGe量子ドットの一次元配列成長, 第55回春季応用物理学会, 30a-ZR-7, p.822, 千葉, 3月2008年
  291. 島ノ江和広、牧原克典、池田弥央、東清一郎、宮崎誠一, Formation of Pt Nanodots Induced by Remote Hydrogen Plasma, The 18th Symposium of The Materials Research Society of Japan, H-20M, pp. 197, Tokyo, Nov., 2007.
  292. 島ノ江和広、牧原克典、池田弥央、東清一郎、宮崎誠一, リモート水素プラズマ処理によるPtナノドット密度制御, 第68回秋季応用物理学会, 5a-N-19, p.1409, 北海道, 9月2007年
  293. 松本龍児、池田弥央、牧原克典、岡田竜弥、島ノ江和広、東清一郎、宮崎誠一, NiSiドット/Si量子ドット積層構造フローティングゲートMOSキャパシタにおける電荷注入特性, 第68回秋季応用物理学会, 6a-ZE-4, p.876, 北海道, 9月2007年
  294. 坂田務、出木秀典、牧原克典、東清一郎、宮崎誠一, GeH4 VHF-ICPからのGe結晶薄膜の低温・高速成長-基板依存性, 第54回春季応用物理学会, 27p-M-5, p.976, 神奈川, 3月2007年
  295. 坂田務、牧原克典、東清一郎、宮崎誠一, GeH4 VHF-ICPからの高結晶性Ge薄膜の低温高速堆積, 第54回春季応用物理学会, 27p-M-4, p.976, 神奈川, 3月2007年
  296. 西原良祐、牧原克典、川口恭裕、池田弥央、村上秀樹、東清一郎、宮崎誠一, AFM/KFMによる孤立NiSiドットの帯電状態計測, 第54回春季応用物理学会, 28p-K-7, p.822, 神奈川, 3月2007年
  297. 島ノ江和広、牧原克典、川口恭裕、奥山一樹、西原良祐、池田弥央、松本龍児、東清一郎、宮崎誠一, リモート水素プラズマ支援によるNiナノドット形成-ドット密度制御, 第54回春季応用物理学会, 28p-K-8, p.823, 神奈川, 3月2007年
  298. 川口恭裕、牧原克典、東清一郎、宮崎誠一, 不純物添加Si量子ドット/SiO2多重集積構造からの発光, 第54回春季応用物理学会, 28p-K-4, p.821, 神奈川, 3月2007年
  299. 牧原克典、池田弥央、東清一郎、宮崎誠一, 不純物添加がGeコアSi量子ドットの帯電状態に及ぼす影響, 第54回春季応用物理学会, 28p-K-3, p.821, 神奈川, 3月2007年
  300. 坂田務、牧原克典、中川博、東清一郎、宮崎誠一, High Rate Growth of Highly-Crystallized Ge:H Films from VHF Inductively-Coupled Plasma of GeH4, The 17th Symposium of The Materials Research Society of Japan, H-24-M, p.178, 東京, 12月2006年
  301. 川口恭裕、牧原克典、大田晃生、東清一郎、宮崎誠一, Luminescence Study of Multiply-Stacked Si Quantum Dots, The 17th Symposium of The Materials Research Society of Japan, F-19-M, p.150, 東京, 12月2006年
  302. 細井卓治、佐野孝輔、日野真毅、大田晃生、牧原克典、加久博隆、宮崎誠一、芝原健太郎, 不純物添加NiSi/SiO2ゲートスタック構造の界面評価, ゲートスタック研究会 (第11回研究会) 極薄シリコン酸化膜の形成・評価・信頼性, p.293-296, 静岡, 11月2006年
  303. 坂田務、牧原克典、東清一郎、宮崎誠一, GeH4 VHF-ICPからの高結晶性Ge:H薄膜の形成, 第67回秋季応用物理学会, 29p-X-2, p.833, 滋賀, 8月2006年
  304. 川口恭裕、牧原克典、池田弥央、村上秀樹、東清一郎、宮崎誠一, Si量子ドット/SiO2多重集積構造からの発光特性, 第67回秋季応用物理学会, 31p-ZN-18, p.698, 滋賀, 8月2006年
  305. 西原良祐、牧原克典、松本龍児、池田弥央、村上秀樹、東清一郎、宮崎誠一, AFM/KFMによるNiSiドットの帯電状態計測, 第67回秋季応用物理学会, 31p-ZN-17, p.698, 滋賀, 8月2006年
  306. 牧原克典、川口恭裕、東清一郎、宮崎誠一, AFM/ケルビンプローブによる不純物添加Si量子ドットの帯電評価, 第67回秋季応用物理学会, 31p-ZN-16, p.698, 滋賀, 8月2006年
  307. 西原良祐、牧原克典、川口恭裕、池田弥央、村上秀樹、東清一郎、宮崎誠一, AFM/KFMによるNiSiドットの帯電状態評価, 応用物理学会2006年度中国四国支部例会, B-a-6, p.119, 徳島, 8月2006年
  308. 坂田務、牧原克典、東清一郎、宮崎誠一, H2希釈GeH4ガスVHF-ICPからの高結晶性Ge:H薄膜の形成, 第53回春季応用物理学会, 22a-P-9, p.977, 東京, 3月2006年
  309. 西谷純一郎、牧原克典、川口恭裕、池田弥央、東清一郎、宮崎誠一, AFM/KFMによるSi量子ドット/SiO2多重集積構造の帯電電荷分布計測, 第53回春季応用物理学会, 22p-V-7, p.818, 東京, 3月2006年
  310. 牧原克典、永井武志、池田弥央、川口恭裕、村上秀樹、東清一郎、宮崎誠一, 価電子制御したSi量子ドットフローティングにおける電荷注入・放出特性, 第53回春季応用物理学会, 24p-X-12, p.924, 東京, 3月2006年
  311. 牧原克典、池田弥央、永井武志、村上秀樹、東清一郎、宮崎誠一, Fabrication of Multiply-Stacked Si Quantum Dots for Floating Gate MOS Devices, The 16th Symposium of The Materials Research Society of Japan, G1-011-D, p.168, 東京, 11月, 2005年
  312. 坂田務、牧原克典、東清一郎、宮崎誠一, GeH4VHF-ICPからの高結晶性Ge:H薄膜の高速堆積, 第66回秋季応用物理学会, 11a-M-10, p.786, 徳島, 9月2005年
  313. 持留雅志、西谷純一郎、牧原克典、多比良昌弘、池田弥央、東清一郎、宮崎誠一, AFM/ケルビンプローブによるNiSiドットの帯電状態評価, 第66回秋季応用物理学会, 7p-P3-4, p.650, 徳島, 9月2005年
  314. 西谷純一郎、牧原克典、池田弥央、村上秀樹、東清一郎、宮崎誠一, AFM/ケルビンプローブによる孤立Si量子ドットの電荷保持特性評価, 第66回秋季応用物理学会, 7p-P3-3, p.649, 徳島, 9月2005年
  315. 牧原克典、川口恭裕、東清一郎、宮崎誠一, AFM/ケルビンプローブによるBドープSi量子ドットの帯電状態評価", 第66回秋季応用物理学会, 7p-P3-2, p.649, 徳島, 9月2005年
  316. 牧原克典、徐駿、川口恭裕、村上秀樹、東清一郎、宮崎誠一, AFM/ケルビンプローブによるPドープSi量子ドットの帯電状態評価, 応用物理学会2005年度中国四国支部例会, Fp-6, p.119, 島根, 7月2005年
  317. J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki, Electronically-Driven Light Emitting Diode Based on Si Quantum Dots Multilayers, 第52回春季応用物理学会, 30p-ZC-12, p.878, 東京, 3月2005年
  318. 西谷純一郎、牧原克典、池田弥央、東清一郎、宮崎誠一, , AFM/ケルビンプローブによるSi量子ドットの電荷保持特性評価, , 第52回春季応用物理学会, 30p-ZC-9, p.877, 東京, 3月2005年
  319. 牧原克典、徐駿、川口恭裕、東清一郎、宮崎誠一, AFM/ケルビンプローブによるPドープSi量子ドットの帯電状態評価, 第52回春季応用物理学会, 30p-ZC-10, p.877, 東京, 3月2005年
  320. 岡本祥裕、牧原克典、東清一郎、宮崎誠一, ICPプラズマによる微結晶Ge:H膜成長制御-アモルファスインキュベーション層の堆積速度依存性, 第65回秋季応用物理学会, 1p-ZB-12, p.1014, 仙台, 9月2004年
  321. 牧原克典、中川博、池田弥央、東清一郎、宮崎誠一, ドライ一貫プロセスによる高密度Siドット/SiO2立体積層構造の作成, 第65回秋季応用物理学会, 1p-ZC-10, p.662, 仙台, 9月2004年
  322. 牧原克典、出木秀典、池田弥央、東清一郎、宮崎誠一, リモート水素及び酸素プラズマ前処理によるSiドット核密度制御, 第65回秋季応用物理学会, 3a-B-3, p.664, 仙台, 9月2004年
  323. 岡本祥裕、牧原克典、Kosku Nihan、村上秀樹、東清一郎、宮崎誠一, 誘導結合型GeH4プラズマによる微結晶ゲルマニウム (μc-Ge:H) 膜の形成, 第51回春季応用物理学会, 29p-YC-6, p.813, 東京, 3月2004年
  324. 牧原克典、出木秀典、村上秀樹、東清一郎、宮崎誠一, リモート水素プラズマ処理によるSiドット核密度制御, 第51回春季応用物理学会, 31p-B-4, p.853, 東京, 3月2004年
  325. 牧原克典、柴口拓、村上秀樹、東清一郎、宮崎誠一, AFM/ケルビンプローブによる高密度Si量子ドットの帯電状態評価, 第51回春季応用物理学会, 31a-ZG-10, p.839, 東京, 3月2004年
  326. 岡本祥裕、牧原克典、Kosku Nihan、村上秀樹、東清一郎、宮崎誠一, 誘導結合型GeH4プラズマによる微結晶ゲルマニウム (μc-Ge:H) 膜堆積, 第64回秋季応用物理学会, 2a-ZF-5, p.844, 福岡, 9月2003年 
  327. 牧原克典、岡本祥裕、村上秀樹、東清一郎、宮崎誠一, 導電性AFM探針による結晶性ゲルマニウム薄膜の伝導評価, 第64回秋季応用物理学会, 2a-ZF-6, p.834 福岡, 9月2003年
  328. 牧原克典、岡本祥裕、村上秀樹、東清一郎、宮崎誠一, , 微結晶ゲルマニウムの局所電気伝導評価, 応用物理学会2003年度中国四国支部例会, DA-5, p.71, 山口, 8月2003年
  329. 岡本祥裕、牧原克典、村上秀樹、東清一郎、宮崎誠一, 誘導結合型プラズマCVD法による結晶性ゲルマニウム膜の形成, 応用物理学会2003年度中国四国支部例会, DA-4, p.70, 山口, 8月2003年
  330. 牧原克典、竹内耕平、池田弥央、村上秀樹、宮崎誠一, 導電性AFM探針による微結晶ゲルマニウムの局所電気伝導評価, 第50回春季応用物理学会, 27 a11-M9, p.975, 東京, 3月2003年
  331. 牧原克典、竹内耕平、池田弥央、村上秀樹、宮崎誠一, 導電性AFM探針による微結晶ゲルマニウム(μc-Ge:H)の核形成及び成長評価, 第20回プラズマプロセシング研究会, P2-48, p.321, 長岡, 1月2003年
  332. 牧原克典、竹内耕平、池田弥央、村上秀樹、宮崎誠一, 導電性AFMカンチレバーを用いた微結晶ゲルマニウム(μc-Ge:H)の核形成及び成長評価, 第29回アモルファス物質の物性と応用セミナー, p.112-113, 東京, 11月2002年
  333. 牧原克典、竹内耕平、池田弥央、村上秀樹、宮崎誠一, 導電性カンチレバーを用いた微結晶ゲルマニウム(μc-Ge:H)の核形成及び成長評価, 第63回秋季応用物理学会, 24p-ZM-14, p.847, 新潟, 9月2002年
  334. 高瀬浩一、小矢野幹夫、佐藤憲、牧原克典、清水智弘、高橋由美子、高野良紀、関沢和子, オキシ硫化物(LaO)CuSの電気抵抗とフォトルミネッセンススペクトル, 日本物理学会 第57回年次大会, 26pPSB-7, 東京, 3月2002年
  335. 牧原克典、清水智弘、高橋由美子、高瀬浩一、高野良紀、関澤和子, LaOCuSにおけるLaおよびCuサイトの同時置換効果, 日本物理学会 2001年秋季大会, 17pPSA-34, 徳島, 9月2001年
  336. 高瀬浩一、清水智弘、松本和弥、牧原克典、高野良紀、関澤和子, オキシ硫化物La1-xCaxOCu1-xNixSの輸送現象, 日本物理学会 第56回年次大会, 28aTC-1, 東京, 3月2001年

特許

  1. 「半導体薄膜およびその製造方法」、出願番号:特願2012-041844、出願日:2012年2月28日、発明者:牧原克典、宮崎誠一、林司、出願人:日新電機株式会社
  2. 「結晶半導体の製造方法およびそれを用いた半導体素子の製造方法」、特許公開番号:特開:2010-232401、公開日:2010年10月14日、出願番号:特願2009-77922、出願日:2009年3月27日、発明者:岡田竜弥、牧原克典、宮崎誠一、出願人:国立大学法人 広島大学
  3. 「半導体製造装置、ゲルマニウムドットの製造方法およびそれを用いた半導体メモリの製造方法」、特許公開番号:特開:2010-153610、公開日:2008年7月8日、出願番号:特願2008-330524、出願日:2008年12月25日、発明者:牧原克典、宮崎誠一、出願人:国立大学法人 広島大学
  4. 「金属ドットの製造方法およびそれを用いた半導体メモリの製造方法」、特許公開番号:特開:2010-153612、公開日:2008年7月8日、出願番号:特願2008-330536、出願日:2008年12月25日、発明者:牧原克典、宮崎誠一、出願人:国立大学法人 広島大学
  5. 「半導体メモリ、それを用いた半導体メモリシステム、および半導体メモリに用いられる量子ドットの製造方法」、特許公開番号:特開:2008-270705、公開日:2008年11月6日、出願番号:特願2007-236635、出願日:2007年9月12日、発明者:牧原克典、宮崎誠一、東清一郎、出願人:国立大学法人 広島大学
  6. 「半導体メモリ、それを用いた半導体メモリシステム、および半導体メモリに用いられる量子ドットの製造方法」、出願番号:PCT/JP2007/001361、出願日:2007年12月6日、発明者:牧原克典、宮崎誠一、東清一郎、出願人:国立大学法人 広島大学
  7. 「半導体素子」、特許公開番号:特開2008-288346、公開日:2008年11月27日、出願番号:特願2007-131078、出願日:2007年5月16日、発明者:牧原克典、宮崎誠一、東清一郎、村上秀樹、出願人:国立大学法人 広島大学
  8. 「半導体素子」、出願番号:PCT/JP2007/001360、出願日:2007年12月6日、発明者:牧原克典、宮崎誠一、東清一郎、村上秀樹、出願人:国立大学法人 広島大学
  9. 「バイオセンサーおよびその製造方法」、出願番号:特願2008-77082、出願日:2008年3月25日、発明者:牧原克典、宮崎誠一、東清一郎、村上秀樹、出願人:国立大学法人 広島大学
  10. 「発光素子およびその製造方法」、出願番号:特願2008-70602、出願日:2008年3月19日、発明者:牧原克典、宮崎誠一、東清一郎、出願人:国立大学法人 広島大学
  11. 「発光素子およびその製造方法」、出願番号:12/212,406(US)、出願日:2008年9月17日、発明者:牧原克典、宮崎誠一、東清一郎、出願人:国立大学法人 広島大学
  12. 「半導体製造装置、ゲルマニウムドットの製造方法およびそれを用いた半導体メモリの製造方法」、出願番号:特願2008-330524、出願日:2008年12月25日、発明者:牧原克典、宮崎誠一、出願人:国立大学法人 広島大学
  13. 「金属ドットの製造方法およびそれを用いた半導体メモリの製造方法」、出願番号:特願2008-330536、出願日:2008年12月25日、発明者:牧原克典、宮崎誠一、池田弥央、島ノ江和広、出願人:国立大学法人 広島大学
  14. 「結晶半導体の製造方法およびそれを用いた半導体素子の製造方法」、出願番号:特願2008-77922、出願日:2009年3月27日、発明者:岡田竜弥、牧原克典、宮崎誠一、出願人:国立大学法人 広島大学
  15. 「半導体メモリ、それを用いた半導体メモリシステム、および半導体メモリに用いられる量子ドットの製造方法」、出願番号:特願2008-538611、出願日:2008年3月26日、発明者:牧原克典、宮崎誠一、東清一郎、出願人:国立大学法人 広島大学
  16. 「半導体メモリ、それを用いた半導体メモリシステム、および半導体メモリに用いられる量子ドットの製造方法」、出願番号:PCT/JP2008/000740、出願日:2008年3月26日、発明者:牧原克典、宮崎誠一、東清一郎、出願人:国立大学法人 広島大学
  17. 「測定装置および測定方法」、出願番号:特願2008-552633、出願日:2008年7月31日、発明者:牧原克典、宮崎誠一、東清一郎、出願人:国立大学法人 広島大学
  18. 「測定装置および測定方法」、出願番号:PCT/JP2008/002067、出願日:2008年7月31日、発明者:牧原克典、宮崎誠一、東清一郎、出願人:国立大学法人 広島大学

現在の研究テーマ

受賞歴

所属学会

学会役員・委員

国際会議組織委員

国際会議実行委員

国際会議プログラム委員

講義等