論文 【1991年以降(広島大学時代を含む)】


2020年度

 
  1. A. Ohta, T. Imagawa, N. Taoka, M. Ikeda, K. Makihara, and S. Miyazaki,“Energy band diagram for SiO2/Si system as evaluated from UPS analysis under vacuum ultraviolet with variable incident photon energy”, Japanese Journal of Applied Physics 60, SA, SAAC02(6pages) (2020), doi.org/10.35848/1347-4065/abb75b
  2. M. Araidai, M. Itoh, M. Kurosawa, A. Ohta, and K. Shiraishi,“Hydrogen desorption from silicane and germanane crystals: Toward creation of free-standing monolayer silicene and germanene”, Journal of Applied Physics 128, 125301 (2020), doi.org/10.1063/5.0018855
  3. T. Ogikubo, H. Shimazu, Y. Fujii, K. Ito, A. Ohta, M. Araidai, M. Kurosawa, G. L. Lay, J. Yuhara,“Continuous growth of germanene and stanene lateral heterostructures”, Advanced Materials Interfaces 2020, 1902132 (2020), doi.org/10.1002/admi.201902132
  4. T. Niibayashi, T. Takemoto, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki, “Electron Field Emission from Multiply-Stacked Si Quantum Dots Structures with Graphene Top-Electrode”, ECS Transactions 98, 429-434 (2020).
  5. J. Wu, H. Zhang, H. Furuhata, K. Makihara, M. Ikeda, A. Ohta, S. Miyazaki, “Characterization of Magnetic-Field Dependent Electron Transport of Fe3Si Nanodots by Using a Magnetic AFM Probe”ECS Transactions 98, 493-498 (2020).
  6. H. Sugawa, A. Ohta, M. Kobayashi, N. Taoka, M. Ikeda, K. Makihara, S. Miyazaki, “Crystallization of Ge Thin Films on Sapphire(0001) by Thermal Annealing”, ECS Transactions 98, 505-512 (2020).
  7. Takuya Maehara, Shuntaro Fujimori, Mitsuhisa Ikeda, Akio Ohta, Katsunori Makihara, and Seiichi Miyazak, “Characterization of photoluminescence from Si quantum dots with B δ-doped Ge core”, Materials Science in Semiconductor Processing 120, 105215 (2020); https://doi.org/10.1016/j.mssp.2020.105215
  8. Katsunori Makihara, Shuntaro Fujimori, Mitsuhisa Ikeda, Akio Ohta, and SeiichiMiyazaki, “Effect of B-doping on photoluminescence properties of Si quantum dots with Ge core”, Materials Science in Semiconductor Processing 120, 105250 (2020); https://doi.org/10.1016/j.mssp.2020.105250
  9. Akio Ohta, Mitsuhisa Ikeda, Katsunori Makihara, and Seiichi Miyazaki,“Complex dielectric function of Si oxide as evaluated from photoemission measurements”, Jpn. J. Appl. Phys. 59, SMMB04 (2020); https://doi.org/10.35848/1347-4065/ab8c99
  10. Masato Kobayashi, Akio Ohta, Masashi Kurosawa, Masaaki Araidai, Noriyuki Taoka, Tomohiro Simizu, Mitsuhisa Ikeda, Katsunori Makihara, and Seiichi Miyazaki,“Formation of ultrathin segregated-Ge crystal on Al/Ge(111) surface”, Jpn. J. Appl. Phys. 59, SGGK15 (2020);https://doi.org/10.35848/1347-4065/ab69de
  11.  




2019年度

 
  1. Seiichi Miyazaki, and Akio Ohta, "Photoemission-based Characterization of Gate Dielectrics and Stack Interfaces", ECS Transactions, 92 (4) 11-19 (2019);10.1149/09204.0011ecst
  2. Shuntaro Fujimori, Ryo Nagai, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Effect of H2-dilution in Si-cap formation on photoluminescence intensity of Si quantum dots with Ge core", Jpn. J. Appl. Phys. 58 SIIA01 (2019);https://doi.org/10.7567/1347-4065/ab0c7a
  3. Shuntaro Fujimori, Katsunori Makihara, Mitsuhisa Ikeda, Akio Ohta and Seiichi Miyazaki, "Impact of surface pre-treatment on Pt-nanodot formation induced by remote H2-plasma exposure", Jpn. J. Appl. Phys. 58 SIIA15 (2019);https://doi.org/10.7567/1347-4065/ab23f9
  4. Seiichi Miyazaki, and Akio Ohta, "Photoemission Characterization of Interface Dipoles and Electronic Defect States for Gate Dielectrics", ECS Trans., 90(1) 113-120 (2019); doi.org/10.1149/09001.0113ecst
  5. N. Takada, N. Taoka, T. Yamamoto, A. Ohta, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, “Impact of Remote Plasma Oxidation of a GaN Surface on Photoluminescence Properties,” Japanese Journal of Applied Physics, vol. 58, No.SE, 2019, SEEC02 (June 2019); doi.org/10.7567/1347-4065/ab09c9
  6. N. Takada, N. Taoka, A. Ohta, T. Yamamoto, N. X. Truyen, H. Yamada, T. Takahashi, M. Ikeda, K. Makihara, M. Shimizu, and S. Miyazaki, “Comparative Study of Photoluminescence Properties Obtained from SiO2/GaN and Al2O3/GaN Structures,” Japanese Journal of Applied Physics, vol. 58, No.SI, 2019, SIIB22 (July 2019); doi.org/10.7567/1347-4065/ab26ac
  7. Y. Futamura, K. Makihara, A. Ohta, M .Ikeda, and S. Miyazaki, “Characterization of Electron Field Emission from Multiple-Stacking Si-Based Quantum Dots,” IEICE Trans, on Electronics E102-C 458-461 (2019); 10.1587/transele.2018FUP0007
  8.  




2018年度

 
  1. Ryo Nagai, Kentaro Yamada, Shuntaro Fujimori, Mitsuhisa Ikeda, Katsunori Makihara, Akio Ohta, and Seiichi Miyazaki, "Characterization of electron charging and transport properties of Si-QDs with phosphorus doped Ge core", Semicond. Sci. Technol. 33 124021(2018);https://doi.org/10.1088/1361-6641/aaebbc
  2. Yuto Futamura, Yuta Nakashima, Akio Ohta, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Evaluation of the potential distribution in a multiple stacked Si quantum dots structure by hard X-ray photoelectron spectroscopy", Jpn. J. Appl. Phys. 58 SAAE01(2018); https://doi.org/10.7567/1347-4065/aaeb38
  3. Hai Zhang, Katsunori Makihara, Mitsuhisa Ikeda, Akio Ohta, and Seiichi Miyazaki, "High Density Formation and Magnetoelectronic Transport Properties of Fe3Si Nanodots", ECS Trans. 86(7), 131-138 (2018); doi:10.1149/08607.0131ecst
  4. Nguyen Xuan Truyen, Noriyuki Taoka, Akio Ohta, Katsunori Makihara, Hisashi Yamada, Tokio Takahashi, Mitsuhisa Ikeda, Mitsuaki Shimizu and Seiichi Miyazaki, "High thermal stability of abrupt SiO2/GaN interface with low interface state density", Jpn. J. Appl. Phys. 57 04FG11(2018); https://doi.org/10.7567/JJAP.57.04FG11
  5. Nobuyuki Fujimura, Akio Ohta, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Direct evaluation of electrical dipole moment and oxygen density ratio at high-k dielectrics/SiO2 interface by X-ray photoelectron spectroscopy analysis", Jpn. J. Appl. Phys. 57 04FB07(2018); https://doi.org/10.7567/JJAP.57.04FB07
  6. Yinghui Wen, Katsunori Makihara, Akio Ohta, Mitsuhisa Ikeda and Seiichi Miyazaki, "Formation of Mn-germanide nanodots on ultrathin SiO2 induced by remote hydrogen plasma", Jpn. J. Appl. Phys. 57 01AF05(2018); https://doi.org/10.7567/JJAP.57.01AF05
  7. Akio Ohta, Yusuke Kato, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Evaluation of resistive switching properties of Si-rich oxide embedded with Ti nanodots by applying constant voltage and current", Jpn. J. Appl. Phys. 57 06HD05(2018); https://doi.org/10.7567/JJAP.57.06HD05
  8. Koichi Ito, Akio Ohta, Masashi Kurosawa, Masaaki Araidai, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Growth of two-dimensional Ge crystal by annealing of heteroepitaxial Ag/Ge(111) under N2 ambient", Jpn. J. Appl. Phys. 57 06HD08(2018); https://doi.org/10.7567/JJAP.57.06HD08
  9. Nguyen Xuan Truyen, Noriyuki Taoka, Akio Ohta, Katsunori Makihara, Hisashi Yamada, Tokio Takahashi, Mitsuhisa Ikeda, Mitsuaki Shimizu and Seiichi Miyazaki, "Interface properties of SiO2/GaN structures formed by chemical vapor deposition with remote oxygen plasma mixed with Ar or He", Jpn. J. Appl. Phys. 57 06KA01(2018); https://doi.org/10.7567/JJAP.57.06KA01
  10. Taishi Yamamoto, Noriyuki Taoka, Akio Ohta, Nguyen Xuan Truyen, Hisashi Yamada, Tokio Takahashi, Mitsuhisa Ikeda, Katsunori Makihara, Osamu Nakatsuka, Mitsuaki Shimizu and Seiichi Miyazaki, "Energy band structure and electrical properties of Ga-oxide/GaN interface formed by remote oxygen plasma", Jpn. J. Appl. Phys. 57 06KA05(2018); https://doi.org/10.7567/JJAP.57.06KA05
  11. Akio Ohta, Nguyen Xuan Truyen, Nobuyuki Fujimura, Mitsuhisa Ikeda, Katsunori Makihara and Seiichi Miyazaki, "Total photoelectron yield spectroscopy of energy distribution of electronic states density at GaN surface and SiO2/GaN interface", Jpn. J. Appl. Phys. 57 06KA08(2018); https://doi.org/10.7567/JJAP.57.06KA08
  12. Taishi Yamamoto, Noriyuki Taoka, Akio Ohta, Nguyen Xuan Truyen, Hisashi Yamada, Tokio Takahashi, Mitsuhisa Ikeda, Katsunori Makihara, Mitsuaki Shimizu and Seiichi Miyazaki, "Low-temperature formation of Ga-oxide/GaN interface with remote oxygen plasma and its interface properties", Jpn. J. Appl. Phys. 57 06JE01(2018); https://doi.org/10.7567/JJAP.57.06JE01
  13. Takeya Mochizuki, Kazuhiro Gotoh, Akio Ohta, Shohei Ogura, Yasuyoshi Kurokawa, Seiichi Miyazaki, Katsuyuki Fukutani and Noritaka Usami, "Activation mechanism of TiOx passivating layer on crystalline Si", Appl. Phys. Express 11 102301(2018); https://doi.org/10.7567/APEX.11.102301
  14. K. Ito, A. Ohta, M. Kurosawa, M. Araidai, M. Ikeda, K. Makihara, and S. Miyazaki, "Segregated SiGe ultrathin layer formation and surface planarization on epitaxial Ag(111) by annealing of Ag/SiGe(111) with different Ge/(Si+Ge) compositions", Japanese Journal of Applied Physics, vol. 57, 04FJ05 (2018).
  15. K. Makihara, M. Ikeda, N. Fujimura, K. Yamada, A. Ohta, and S. Miyazaki, "Electroluminescence of superatom-like Ge-core/Si-shell quantum dots by alternate field-effect-induced carrier injection", Applied Physics Express Vol. 11, 011305 (4pages) (2018).; https://doi.org/10.7567/APEX.11.011305
  16. N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda, and S. Miyazaki, "Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements", Japanese Jounal of Applied Physics Vol. 57, No. 1S, 01AD02 (2018).
  17.  




2017年度

 
  1. S. Miyazaki, N. Truyen, A. Ohta and T. Yamamoto, "Photoemission Study of Gate dielectrics on Gallim Nitride", ECS Trans., 79(1), 119-127 (2017).
  2. S. Miyazaki, K. Yamada, K. Makihara and M. Ikeda, "Processing and Characterization of High Density Si/Ge Quantum Dots for Electroluminescent Devices", ECS Transactions, 80 (4) 167-172 (2017)
  3. S. Miyazaki, A. Ohta, and N. Fujimura, "Characterization of Interfacial Dipoles at Dielectric Stacks by XPS Analysis", ECS Transactions, 80 (1) 229-235 (2017)
  4. K. Makihara, T. Kawase, A. Ohta, M. Ikeda, and S. Miyazaki, "Magnetoelectronic transport of double stack FePt nanodots", Appl. Phys. Lett., 111, 052403 (4pages) (2017).
  5. N. Fujimura, A. Ohta, M. Ikeda, K. Makihara, S. Miyazaki, "Photoemission Study on Electrical Dipole at SiO2/Si and HfO2/SiO2 Interfaces", Jpn. J. Appl. Phys., Vol.56, No.4S, 04CB04(6pages) (2017).
  6. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda, S. Miyazaki, "Impact of Phosphorus Doping to Multiple-Stacked Si Quantum Dots on Electron Emission Properties", Materials Science in Semiconductor Processing, 70 (2017) 183-187.
  7. M. Araidai, M. Kurosawa, A. Ohta, and K. Shiraishi, "First-principles study on adsorption structures and electronic states of stanene on α-alumina", Japanese Journal of Applied Physics, in press
  8. A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Evaluation of Energy Distribution of Filled Defects of Si Oxide Thin Films from Total Photoelectron Yield Spectroscopy", Microelectronic Engineering, vol. 178, no. 25, 2017, pp. 85-88 (May, 2017).doi.org/10.1016/j.mee.2017.05.001
  9. A. Ohta, H. Murakami, M. Ikeda, K. Makihara, E. Ikenaga, and S. Miyazaki, "Potential Changes and Chemical Bonding Features for Si-MOS Diode as Evaluated from HAXPES Analysis", Microelectronic Engineering, vol. 178, no. 25, 2017, pp. 80-84 (May, 2017).doi.org/10.1016/j.mee.2017.05.002
  10. Y. Lu, K. Makihara, D. Takeuchi, M. Ikeda, A. Ohta, and S. Miyazaki, "Low Temperature Formation of Crystalline Si:H/Ge:H Heterostructures by Plasma Enhanced CVD in Combination with Ni-NDs Seeding Nucleation", Japanese Journal of Applied Physics, vol. 56, no. 6S1, 2017, 06GG07 (4page) (May, 2017) doi.org/10.7567/JJAP.56.06GG07
  11. Y. Kato, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "Embedding of Ti Nanodots into SiOx and Its Impact on Resistance Switching Behaviors", IEICE TRANSACTIONS on Electronics, vol. E100-C, no .5, 2017, pp.468-474 (May. 2017) doi: 10.1587/transele.E100.C.468
  12.  




2016年度

 
  1. N. X. Truyen, A. Ohta, K. Makihara, M. Ikeda and S. Miyazaki, "Effects of remote hydrogen plasma on chemical bonding features and electronic states of 4H-SiC(0001) surface", Jpn. J. of Appl. Phys., 56, 01AF01/5pages (2016).
  2. [研究紹介] 黒澤 昌志、大田 晃生、洗平 昌晃、財満 鎭明、"金属誘起層交換法によるAg 上Si,Ge 極薄膜の形成 ―シリセン,ゲルマネンの創製を目指して―", 表面科学, 37, 374-379 (2016).
  3. N. Fujimura, A. Ohta, K. Makihara, and S. Miyazaki, "Evaluation of Valence Band Top and Electron Affinity of SiO2 and Si-based Semiconductors Using XPS", Jpn. J. of Appl. Phys., 55, 08PC06/5pages (2016).
  4. M. Kurosawa, A. Ohta, M. Araidai, and S. Zaima, "Surface-segregated Si and Ge ultrathin films formed by Ag-induced layer exchange process", Jpn. J. of Appl. Phys., 55, 08NB07/5pages (2016).
  5. T. Arai, A. Ohta, K. Makihara, and S. Miyazaki, "Impact of Embedded Mn Nanodots on Resistive Switching Characteristics of Si-rich Oxides as Measured in Ni-Electrodes MIM Diodes", Jpn. J. of Appl. Phys., 55, 06GH07/5pages (2016).
  6. H. Chen, P. Li, N. Umezawa, H. Abe, J. Ye, K. Shiraishi, A. Ohta, and S. Miyazaki, "Bonding and Energy Alignment at Metal/TiO2 interfaces: A Density Functional Theory Study", The Journal of Physical Chemistry, 120, 5549-5556 (2016).
  7. Y. Wang, D. Takeuchi, A. Ohta, M. Ikeda, K. Makihara, and S. Miyazaki, "High-density formation of Ta nanodot induced by remote hydrogen plasma", Jpn, J. Appl. Phys., 56, 01AE01/4pages (2017).
  8. S. Miyazaki, K. Makihara, A. Ohta, and M. Ikeda, "Processing and Characterization of Si/Ge Quantum Dots", Technical Digest of Int. Electron Devices Meeting 2016, 826-830 (2016).
  9. K. Yamada, K. Kondo, K. Makihara, M. Ikeda, A. Ohta and S. Miyazaki, "Effect of Ge Core Size on Photoluminescence from Si Quantum Dots with Ge Core", ECS Trans., 75(8), 695-700 (2016).
  10. T. Yamamoto, A. Ohta, M. Ikeda, K. Makihara and S. Miyazaki, "Evaluation of Dielectric Function of Thermally-grown SiO2 and GeO2 from Energy Loss Signals for XPS Core-line Photoelectrons", ECS Trans., 75(8), 777-783 (2016).
  11. K. Makihara, T. Kato, Y. Kabeya, Y. Mitsuyuki, A. Ohta, D. Oshima, S. Iwata, Y. Darma, M. Ikeda and S. Miyazaki, "Nano spin-diodes using FePt-NDs with huge on/off current ratio at room temperature", Scientific Reports, 6, 33409/7pages (2016).
  12. T. Yamada, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Study on electroluminescence from multiply-stacking valency controlled Si quantum dots", Thin Solid Films, 602, 48-51 (2016).
  13. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Evaluation of field emission properties from multiple-stacked Si quantum dots", Thin Solid Films, 602, 68-71 (2016).
  14. H. Zhang, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Formation and characterization of high-density FeSi nanodots on SiO2 induced by remote H2 plasma", Jpn, J. Appl. Phys., 55, 01AE20/4pages (2016).
  15. K. Kondo, K. Makihara, M. Ikeda, and S. Miyazaki, "Photoluminescence study of high density Si quantum dots with Ge core", Journal of Applied Physics 119, 033103 (5pages) (2016).
  16.  




2015年度

 
  1. S. Miyazaki, and A. Ohta, "High-Resolution Photoemission Study of High-k Dielectric Bilayer Stack on Ge(100)", Electrochemical Society (ECS) Transaction, 69, 165-170 (2015).
  2. A. Ohta, C. Liu, T. Arai, D. Takeuchi, H. Zhang, K. Makihara, and S. Miyazaki, "Resistance-Switching Characteristics of Si-rich Oxide Evaluated by Using Ni Nanodots as Electrodes in Conductive AFM Measurements", IEICE TRANSACTIONS on Electronics, E98-C, 406-410 (2015).
  3. Z. Sun, A. Ohta, S. Miyazaki, K. Nagamatsu, H. Lee, M. Olsson, Z. Ye, M. Deki, Y. Honda and H. Amano, "The interface analysis of GaN grown on off 6H-SiC with ultra-thin buffer layer", Jpn, J. Appl. Phys., Vol. 55, 2015, 010303.
  4. H. Watanabe, A. Ohta, K. Makihara and S. Miyazaki, "Photoemission Study on Chemical Bonding Features and Electronic Defect States of Thermally-Grown SiO2/4H-SiC Structure", ECS Trans., Vol. 69, No. 10, 2015, pp. 179-186.
  5. Y. Kato, T. Arai, A. Ohta, K. Makihara and S. Miyazaki, "Resistive Switching Characteristics of Si-Rich Oxides with Embedding Ti Nanodots", ECS Trans., Vol. 69, No. 10, 20115, pp. 291-298.
  6. S. Kajita, A. Ohta, T. Ishida, K. Makihara, T. Yoshida and N. Ohno, , "Increase in the work function of W/WO3 by helium plasma irradiation", Jpn, J. Appl. Phys., Vol. 54, 2015,126201.
  7. A. Ohta, H. Murakami, K. Makihara and S. Miyazaki, "Progress in determination method for ultrathin Si-based oxide bandgaps from analysis of energy loss signals for photoelectrons", Jpn, J. Appl. Phys., Vol. 54, 2015,06FH08.
  8. A. Ohta, K. Makihara and S. Miyazaki, "Electronic defect states in thermally-grown SiO2/4H-SiC structure measured by total photoelectron yield spectroscopy", Microelectronic Engineering, Vol. 147, No. 1, 2015, pp. 264-268. IEICE Trans. on Electronics, Vol. E97-C, No. 5, 2014, pp. 393-396.
  9. R. Fukuoka, K. Makihara, H. Zhang, A. Ohta, T. Kato, S. Iwata, M. Ikeda and S. Miyazaki,"High Density Formation of FePt Alloy Nanodots Induced by Remote Hydrogen Plasma and Characterization of their Magnetic Properties", Trans. Mat. Res. Sco. Japan, Vol. 40, No. 4, 2015, pp. 347-350.
  10.  




2014年度

 
  1. A. Ohta, H. Murakami, K. Hashimoto, K. Makihara, and S. Miyazaki, "Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack", Electrochemical Society (ECS) Transaction, 64, 241-248 (2014).
  2. H. Murakami, S. Hamada, T. Ono, K. Hashimoto, A. Ohta, H. Hanafusa, S. Higashi, and S. Miyazaki, "Pre-Amorphization and Low-Temperature Implantation for Efficient Activation of Implanted As in Ge(100)", Electrochemical Society (ECS) Transaction, 64, 423-429 (2014).
  3. D. Takeuchi, K. Makihara, A. Ohta, M. Ikeda and S. Miyazaki, "Characterization of Electron Emission from High Density Self-Aligned Si-Based Quantum Dots by Conducting-Probe Atomic Force Microscopy", ECS Trans., Vol. 64, No. 6, 2014, pp. 923-928.
  4. K. Makihara, K. Kondo, M. Ikeda, A. Ohta and S. Miyazaki, "Photoluminescence Study of Si Quantum Dots with Ge Core", ECS Trans., Vol. 64, No. 6, 2014, pp. 365-370.
  5. A. Ohta, H. Murakami, K. Hashimoto, K. Makihara and S. Miyazaki, "Characterization of Chemical Bonding Features and Interfacial Reactions in Ge-MIS Structure with HfO2/TaGexOy Dielectric Stack", ECS Trans., Vol. 64, No. 6, 2014, pp. 241-248.
  6. K. Makihara, M. Ikeda, T. Okada, and S. Miyazaki, "Application of remote hydrogen plasma to selective processing for Ge-based devices: Crystallization, etching, and metallization", Jpn. J. Appl. Phys., Vol. 53, 2014, 06FH08 (4 pages).
  7. S. Otsuka, T. Shimizu, S. Shingubara, K. Makihara, S. Miyazaki, A. Yamasaki, Y. Tanimoto and K. Takase, "Effect of electric field concentration using nanopeak structures on the current-voltage characteristics of resistive switching memory", AIP Advances, Vol. 4, 2014, 087110 (7 pages).
  8. K. Makihara,M. Ikeda and S. Miyazaki, "Selective Growth of Self-Assembling Si and SiGe Quantum Dots", IEICE Trans. on Electronics, Vol. E97-C, No. 5, 2014, pp. 393-396.
  9. D. Takeuchi,K. Makihara,M. Ikeda,S. Miyazaki,H. Kaki and T. Hayashi,"High-Sensitive Detection of Electronic Emission through Si-Nanocrystals/Si-Nanocolumnar Structures by Conducting-Probe Atomic Force Microscopy", IEICE Trans. on Electronics, Vol. E97-C, No. 5, 2014, pp. 397-400.
  10.  




2013年度

 
  1. A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, "Determination of Energy Band Alignment in Ultrathin Hf-based Oxide/Pt System", Journal of Physics: Conference Series, 417, 012012/6pages (2013).
  2. K. Mishima, H. Murakami, A. Ohta, S. K. Sahari, T. Fujioka, S. Higashi, and S. Miyazaki, "Characterization of Ultrathin Ta-oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Methods", Journal of Physics: Conference Series, 417, 012013/6pages (2013).
  3. S. K. Sahari, A. Ohta, M. Matsui, K. Mishima, H. Murakami, S. Higashi, and S. Miyazaki, "Kinetics of thermally oxidation of Ge(100) surface", Journal of Physics: Conference Series, 417, 012014/6pages (2013).
  4. A. Ohta, M. Fukusima, K. Makihara, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Resistive Switching Behaviors of RF Sputtered Si Oxide ReRAMs with Ti-based Electrodes", Jpn. J. Appl. Phys., Vol. 52, 2013, 11NJ06 (5 pages).
  5. K. Makihara, J. Gao, K. Sakaike, S. Hayashi, H. Deki, M. Ikeda, S. Higashi and S. Miyazak, "Highly-crystallized Ge:H Film Growth from GeH4 VHF-ICP -Crystalline Nucleation Initiated by Ni-nanodots-", Jpn. J. Appl. Phys., Vol. 52, 2013, 11NA04 (3 pages).
  6. S. Miyazaki, M. Ikeda and K. Makihara, "Study on Charge Storage and Optical Response of Hybrid Nanodots Floating Gate MOS Devices for Their Optoelectronic Application", ECS Trans., Vol. 58, No. 9, 2013, pp. 231-237.
  7. A. Ohta, K. Makihara, M. Fukusima, H. Murakami, S. Higashi and S. Miyazaki, "Resistive Switching Properties of SiOx/TiO2 Multi-Stack in Ti-electrode MIM Diodes", ECS Trans., Vol. 58, No. 9, 2013, pp. 293-300.
  8. N. Tsunekawa K. Makihara, M. Ikeda and S. Miyazaki, "Temporal Changes of Charge Distribution in High Density Self-aligned Si-based Quantum Dots as Evaluated by AFM/KFM", Trans. of MRS-J., Vol. 38, No. 3, 2013, pp. 393-396.
  9. A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, "XPS Study of Energy Band Alignment between Hf-La Oxides and Si(100)", Trans. of MRS-J., Vol. 38, No. 3, 2013, pp. 353-357.
  10. S. Miyazaki, "Formation and Characterization of Hybrid Nanodots Floating Gate for Optoelectronic Application", MRS Proceedings Vol. 1510, 2013, DOI: http://dx.doi.org/10.1557/opl.2013.272
  11. H. Zhang, R. Fukuoka, Y. Kabeya, K. Makihara and S. Miyazaki, "High Density Formation of Iron Nanodots on SiO2 Induced by Remote Hydrogen Plasma", Advanced Materials Research Vols. 750-752, 2013, pp. 1011-1015.
  12. H. Takami, K. Makihara, M. Ikeda and S. Miyazaki, "Characterization of Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots", Jpn. J. Appl. Phys., Vol. 52, No. 4, 2013, 04CG08 (4 pages).
  13. M. Ikeda, K. Makihara and S. Miyazaki, "Photoexcited Carrier Transfer in a NiSi-Nanodots/Si-Quantum-Dots Hybrid Floating Gate in MOS Structures", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 694-698.
  14. D. Takeuchi, K. Makihara, M. Ikeda, S. Miyazaki, H. Kaki and T. Hayashi, "Characterization of Local Electronic Transport through Ultrathin Au/Highly-dense Si Nanocolumar Structures by Conducting-Probe Atomic Force Microscopy", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 718-721.
  15. M. Fukushima, A. Ohta, K. Makihara and S. Miyazaki, "Characterization of Resistive Switching of Pt/Si- rich Oxide/TiN System", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 708-713.
  16. A. Ohta, K. Makihara, M. Ikeda, H. Murakamis, S. Higashi and S. Miyazaki, "Evaluation of Chemical Composition and Bonding Features of Pt/SiOx/Pt MIM Diodes and Its Impact on Resistance Switching Behavior", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 702-707.
  17. A. Ohta. K. Makihara, S. Miyazaki, M. Sakuraba and J. Murota, "X-ray Photoemission Study of SiO2/Si/Si0.55Ge0.45/Si Heterostructures", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 680-685.
  18. K. Hashimoto, A. Ohta, H. Murakami, S. Higashi, and S. Miyazaki, "Control of Interfacial Reaction of HfO2/Ge Structure by Insertion of Ta Oxide Layer", IEICE Trans. on Electronics, Vol. E96-C, No. 5, 2013, pp. 674-679.
  19.  




2012年度

 
  1. A. Ohta, H. Murakami, S. Higashi and S. Miyazaki, "Determination of Energy Band Alignment in Ultrathin Hf-based Oxide/Pt System", J. Phys.: Conf. Series, Vol. 417, 2013, 012012.
  2. S. K. Sahari, A. Ohta, M. Matsui, K. Mishima, H. Murakami, S. Higashi and S. Miyazaki, "Kinetics of thermally oxidation of Ge(100) surface", J. Phys.: Conf. Series, Vol. 417, 2013, 012013.
  3. K. Mishima, H. Murakami, A. Ohta, S. K. Sahari, T. Fujioka, S. Higashi and S. Miyazaki, "Characterization of Ultrathin Ta-oxide Films Formed on Ge(100) by ALD and Layer-by-Layer Methods", J. Phys.: Conf. Series, Vol. 417, 2013, 012014.
  4. K. Makihara, M. Ikeda and S. Miyazaki, "Study of Electron Transport Characteristics Through Self-Aligned Si-Based Quantum Dots", J. Appl. Phys., Vol. 112, 2012, 104301 (5pages)
  5. A. Ohta, Y. Goto, S. Nishigaki, G. Wei, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Resistance-Switching of Si Oxide Dielectrics Prepared by RF Sputtering", IEICE Trans., on Electronics, Vol. E95-C, No. 5 (2012) pp. 879-884.
  6. A. Ohta, Y. Goto, S. Nishigaki, H. Murakami, S. Higashi and S. Miyazaki, "Evaluation of Chemical Bonding Features and Resistance Switching Behaviors of Ultrathin Si Oxide Dielectric Sandwiched Between Pt Electrodes", Jpn. J. Appl. Phys., Vol. 51, 2012, 06FF02 (6 pages)
  7. A. Ohta, M. Matsui, H. Murakami, S. Higashi and S. Miyazaki, "Control of Schottky Barrier Height at Al/p-Ge Junctions by Ultrathin Layer Insertion", ECS Trans., Vol. 50, No. 9, 2012, pp. 449-457
  8. K. Makihara, M. Fukushima, A. Ohta, M. Ikeda and S. Miyazaki, "Characterization of Resistance-Switching Properties of SiOx Films Using Pt Nanodots Electrodes", ECS Trans., Vol. 50, No. 9, 2012, pp. 459-464.
  9. K. Makihara, H. Deki, M Ikeda and S, Miyazaki, "Evaluation of Charge Trapping Properties of Microcrystalline Germanium Thin Films by Kelvin Force Microscopy", J. Non-Cry. Solids, Vol. 358, Issue 17, 2012, pp. 2086-2089.
  10. K. Makihara, H. Deki, M Ikeda and S, Miyazaki, "Electroluminescence from One-dimensionally Self-Aligned Si-based Quantum Dots with High Areal Dot Density", Jpn. J. Appl. Phys., Vol. 51, No. 4, 2012, 04DG08 (5 pages).
  11.  




2011年度

 
  1. S. Higashi, S. Hayashi, Y. Hiroshige, Y. Nishida, H. Murakami and S. Miyazaki, "Application of Thermal Plasma Jet Irradiation to Crystallization and Gate Insulator Improvement for High-Performance Thin-Film Transistor Fabrication", Jpn. J. Appl. Phys., Vol. 50, 2011, 03CB10 (8 pages)
  2. H. Itokawa, A. Ohta, M. Ikeda, I. Mizushima and S. Miyazaki, "Contribution of Carbon to Growth of Boron-Containing Cluster in Heavily Boron-Doped Silicon", Jpn. J. Appl. Phys., Vol. 49, 2010, 081301 (5 pages)
  3. A. Ohta, Y. Goto, M. F. Kazalman, G. Wei, H. Murakami, S. Higashi and S. Miyazaki, "The Impact of Y Addition into TiO2 on Electronic States and Resistive Switching Characteristics", Jpn. J. Appl. Phys., Vol.50, No.6, 2011, 06GG01 (5 pages).
  4. K. Matsumoto, A. Ohta, S. Miyazaki and S. Higashi, "Activation of As Atoms in Ultrashallow Junction during Milli- and Microsecond Annealing Induced by Thermal-Plasma-Jet Irradiation", Jpn. J. Appl. Phys., Vol.50 , No.4, 2011, 04DA07 (4 pages).
  5. S. Miyazaki, "Formation and Characterization of Silicon-Quantum-Dots/Metal-Silicide- Nanodots Hybrid Stack and its Application to Floating Gate Functional Devices", ECS Trans., Vol. 41, 2011, pp. 93-98.
  6. A. Ohta, T. Fujioka, H. Murakami, S. Higashi and S Miyazaki, "XPS Study of Interfacial Reactions between Metal and Ultrathin Ge Oxide", Jpn J. Appl. Phys., Vol. 50, No. 10, 2011, 10PE01 (6pages).
  7. A. Ohta, Y. Goto, G. Wei, H. Murakami, S. Higashi and S. Miyazaki, "Evaluation of Chemical Structure and Resistance Switching Characteristics of Undoped Titanium Oxide and Titanium - Yttrium mixed Oxide", Jpn J. Appl. Phys., Vol. 50, No. 10, 2011, 10PH02 (6pages).
  8. K. Makihara, K. Matsumoto, M. Yamane, T. Okada, N. Morisawa, M. Ikeda, S. Higashi and S. Miyazaki, "Formation of High Density Pt Nanodots on SiO2 Induced by Millisecond Rapid Thermal Annealing using Thermal Plasma Jet for Floating Gate Memory", Jpn. J. Appl. Phys., Vol. 50, No. 8, 2011, 08KE06 (4pages)
  9. M. Matsui, H. Murakami, T. Fujioka, A. Ohta, S. Higashi and S. Miyazaki, "Characterization of chemical bonding features at metal/GeO2 Interfaces by X-ray photoelectron spectroscopy", Microelectronic Engineering, V. 88, 2011, pp. 1549-1552.
  10. G. Wei, H. Murakami, T. Fujioka, A. Ohta, Y. Goto, S. Higashi and S. Miyazaki, "Impact of insertion of ultrathin TaOx layer at the Pt/TiO2 interface on resistive switching characteristics", Microelectronic Engineering, V. 88, 2011, pp. 1152-1154.
  11. S. K. Sahari, H. Murakami, T. Fujioka, T. Bando, A. Ohta, K. Makihara, S. Higashi and S. Miyazaki, "Study on Native Oxidation of Ge (111) and (100) Surfaces", Jpn. J. Appl. Phys., Vol. 50, No. 4, 2011, 04DA12 (4 pages)
  12. M. Muraguchi, Y. Sakurai, Y. Takada, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, S. Nomura, K. Shiraishi and T. Endoh, "Collective Tunneling Model in Charge Trap Type NVM Cell", Jpn. J. Appl. Phys., Vol. 50, No. 4, 2011, 04DD04 (4 pages).
  13. G. Wei, Y. Goto, A. Ohta, K. Makihara, H. Murakami, S. Higashi and S. Miyazaki, "The Impact of H2 Anneal on Resistive Switching in Pt/TiO2/Pt Structure", IEICE Trans. on Electronics, Vol. 94-C, No. 5, 2011, pp. 699-704.
  14. A. Ohta, D. Kanme, H. Murakami, S. Higashi and S. Miyazaki, "Characterization of Mg Diffusion into HfO2/SiO2/Si(100) Stacked Structures and Its Impact on Detect State Densities", IEICE Trans. on Electronics V Vol. 94-C, No. 5, 2011, pp. 717-723.
  15. M. Muraguchi, Y. Sakurai, Y. Takada, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, Y. Shigeta and T. Endoh, "Study on Collective Electron Motion in Si-Nano Dot Floating Gate MOS Capacitor", IEICE Trans. on Electronics, V Vol. 94-C, No. 5, 2011, pp. 730-736.
  16. K. Makihara, M. Ikeda, A. Ohta, S. Takeuchi, Y. Shimura, S. Zaima and S. Miyazaki, "High Density Formation of Ge Quantum Dots on SiO2", Solid State Electronics, Vol. 60, 2011, pp. 65-69.
  17. N. Morisawa, M. Ikeda, K. Makihara and S. Miyazaki, "Optical Response of Si-Quantum-Dots/NiSi-Nanodots Stack Hybrid Floating Gate in MOS Structures", Key Engineering Materials, Vol. 470, 2011, pp. 135-139.
  18.  




2010年度

 
  1. S. Higashi, K. Sugakawa, H. Kaku, T. Okada, and S. Miyazaki , "Characterization of Microcrystalline Silicon Thin Film Transistors Fabricated by Thermal Plasma Jet Crystallization Technique", Jpn. J. Appl. Phys. Vol. 49, 2010, 03CA08 (4 pages)
  2. F.A. Noor, M. Abdullah, Sukirno, Khairurrijal, A. Ohta and S. Miyazaki, "Electron and hole components of tunneling currents through an interfacial oxide-high-k gate stack in metal-oxide-semiconductor capacitors", J. Appl. Phys., Vol. 108, 2010, 093711 (5pages)
  3. H. Murakami, T. Fujioka, A. Ohta, T. Bando, S. Higashi and S. Miyazaki, "Characterization of Interfaces between Chemically-Cleaned or Thermally-Oxidized Germanium and Metals", ECS Trans., Vol. 33, No. 6, 2010, pp. 253-262.
  4. T. Matsumoto, S. Higashi, K. Makihara, M. Akazawa and S. Miyazaki, "Formation of Pseudo-Expitaxial Ge Films on Si(100) by Droplet of Microliquid Ge Melt", ECS Trans., Vol. 33, No. 6, 2010, pp. 165-170.
  5. K. Makihara, M. Ikeda, H. Deki, A. Ohta and S. Miyazaki, "Self-Align Formation of Si Quantum Dots", ECS Trans., Vol. 33, No. 6, 2010, pp. 661-667.
  6. A. Kawanami, K. Makihara, M. Ikeda and S. Miyazaki, "Formation of Cobalt and Cobalt-silicide Nanodots on Ultrathin SiO2 Induced by Remote Hydrogen Plasma", Jpn. J. Appl. Phys. Vol.49, 2010, 08JA04 (4 pages).
  7. M. Muraguchi, T. Endoh, Y. Takada, Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara, S. Miyazaki, and Y. Shigeta, "Importance of Electronic State of Two-Dimensional Electron Gas for Electron Injection Process in Nano-Electronic Devices", Physica E. Vol. 42, Issue 10, 2010, pp. 2602-2605.
  8. S. Hayashi, S. Higashi, H. Murakami and S. Miyazaki, "Formation of High Crystallinity Silicon Films by High Speed Scanning of Melting Region Formed by Atmospheric Pressure DC Arc Discharge Micro-Thermal-Plasma-Jet and Its Application to Thin Film Transistor Fabrication", Appl. Phys. Exp., Vol.3, 2010, 061401 (3 pages)
  9. K. Makihara and S. Miyazaki, Characterization of Electronic Charged States of Impurity Doped Si Quantum Dots Using AFM/Kelvin Probe Technique, Jpn. J. Appl. Phys., Vol.49, No.2, 2010, 065002 (4 pages)
  10. K. Makihara, M. Ikeda, A. Kawanami and S. Miyazaki, "Random Telegraph Signals in Two-Dimensional Array of Si Quantum Dots", IEICE Trans. on Electronics, Vol.E93-C, No.5, 2010, pp. 569-572.
  11. K. Matsumoto, S. Higashi, H. Murakami and S. Miyazaki, "Activation of B and As in Ultrashallow Junction DuringMillisecond Annealing Induced by Thermal Plasma Jet Irradiation", Jpn. J. Appl. Phys., Vol. 49, 2010, 04DA02 (4pages).
  12. N. Morisawa, M. Ikeda, S. Nakanishi, A. Kawanami, K. Makihara and S. Miyazaki, "Light Induced Carrier Transfer in NiSi-Nanodots/Si-Quantum-Dots Hybrid FG in MOS Structures", Jpn. J. Appl. Phys., Vol. 49, 2010, 04DJ04 (4pages).
  13. T. Okada, S. Higashi, H. Kaku, K. Makihara, H. Furukawa, Y. Hiroshige and S. Miyazaki, "Effect of Chemical Composition of SiOx Films on Rapid Formation of Si Nanocrystals Induced by Thermal Plasma Jet Irradiation", Physica Status Solidi C, Vol. 7, No. 3-4, 2010, pp. 732-734.
  14. K. Makihara, K. Shimanoe, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki, "Formation Mechanism of Metal nanodots Induced by Remote Plasma Exposure", Journal of Optoelectronics and Advanced Materials, Vol. 12, No. 3, 2010, pp. 626-630.
  15. Y. Sakurai, S. Nomura, Y. Takada, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara, S. Miyazaki, "Anomalous temperature dependence of electron tunneling between a two-dimensional electron gas and Si dots", Physica E, Vol. 42, Issue 4, 2010, pp. 918?921.
  16. S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto, Formation of High Density Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Memory Application, J. of Materials Science Forum Vol. 638-642, 2010, pp 1725-1730.
  17. S. Miyazaki, K. Makihara, M. Ikeda, "Formation and Characterization of Hybrid Nanodot Stack Structure for Floating Gate Application". Thin Solid Films, Vol. 518, 2010, pp. S30-S34.
  18. Y. Sakurai, J. Iwata, M. Muraguchi, Y. Shigeta, Y. Takada, S. Nomura, T. Endoh, S. Saito, K. Shiraishi, M. Ikeda, K. Makihara and S. Miyazaki, "Temperature Dependence of Electron Tunneling between Two Dimensional Electron Gas and Si Quantum Dots", Jpn. J. Appl. Phys., Vol. 49, No. 1, RP090435.
  19.  




2009年度

 
  1. S. Mahboob, K. Makihara, A. Ohta, S. Higashi, Y. Hata, A. Kuroda and S. Miyazaki, "Surface Potential Changes Induced by Physisorption of Si-tagged Protein A on HF-last Si(100) and Thermally Grown SiO2 Surface", ECS Trans., Vol. 19, No. 22, 2009, pp. 35-43.
  2. Y. Sakurai, S. Nomura, Y. Takada, J. Iwata, K. Shiraishi, M. Muraguchi, T. Endoh, Y. Shigeta, M. Ikeda, K. Makihara and S. Miyazaki, "Physics of Nano-contact Between Si Quantum Dots and Inversion Layer", ECS Trans., Vol. 25, No. 7, 2009, pp. 463-469.
  3. S. Miyazaki, K. Makihara and M. Ikeda, "Charge Strage Characteristics of Hybrid Nanodots Floating Gate", ECS Trans., Vol. 25, No. 7, 2009, pp. 433-439.
  4. K. Makihara, K. Shimanoe, M. Ikeda, A. Ohta, S. Higashi and S. Miyazaki / Transactions of Materials Research Society of Japan, Vol. 34, No. 2 (2009) pp. 309-312. / Electronic Charged States of Pt-silicide Nanodots as Evaluated by Using an AFM/Kelvin Probe Technique
  5. A. Ohta, D. Kanme, H. Murakami, S. Higashi and S. Miyazaki / Microelectronic Eng., Vol. 84 (2009) pp. 1650-1653. /Characterization of Interfacial Reaction and Chemical Bonding Features of LaOx/HfO2 Stack Structure Formed on Thermally-grown SiO2/Si(100)
  6. S. Miyazaki, M. Ikeda, K. Makihara, K. Shimanoe and R. Matsumoto / Solid State Phenomena Vol. 154 (2009) pp. 95-100. / Formation of Metal Silicide Nanodots on Ultrathin SiO2 for Floating Gate Application
  7. K. Shimanoe, K. Makihara, M. Ikeda, R. Matsumoto, S. Higashi and S. Miyazaki / Institute of Electronics, Information and Communication Engineers Trans. on Electronics, Vol. E92-C, No. 5, pp. 616-619, 2009. / Formation of Pd Nanodots Induced by Remote Hydrogen Plasma Treatment and Its Application to Floating Gate MOS Memories
  8. Y. Sakurai, S. Nomura, K. Shiraishi, M. Ikeda, K. Makihara and S. Miyazaki / Journal of Physics : Condensed Matter, 150 (2009) 022071. / Temperature Dependence of Capacitance of Si Quantum Dot Floating Gate MOS Capacitor
  9. H. Furukawa, S. Higashi, T. Okada, H. Murakami and S. Miyazaki / Japanese Journal of Applied Physics, Vol. 48, No. 4 (2009) 04C011./ Millisecond Rapid Thermal Annealing of Si wafer Induced by High Power Density Thermal Plasma Jet Irradiation and Its application to Ultra Shallow Junction Formation
  10. M. Kadoshima, T. Matsuki, S. Miyazaki, K. Shiraishi, T. Chikyo, K. Yamada, T. Aoyama, Y. Nara and Y. Ohji, Effective-Work-Function Control by Varying the TiN Thickness in Poly-Si/TiN Gate Electrodes for Scaled High-k CMOSFETs, IEEE Electron Device Lett. , Vol. 30, No. 5, 2009, pp. 466-468.
  11. T. Hosoi, A. Ohta, S. Miyazaki, H. Shiraishi and K. Shibahara / Appl. Phys. Lett., Vol 94 (2009) 192102. / Photoemission Study of Fully Silicided Pd2Si Gates with Interface Modification Induced by Dopants
  12.  




2008年度

 
  1. K. Yamabe, K. Murata, T. Hayashi, T. Tamura, M. Sato, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada and R. Hasunuma / ECS Transactions, Volume 16, Issue 5 (2008) pp. 521-526. / Effect of Annealing on Electronic Characteristics of HfSiON Films fabricated by Damascene Gate Process
  2. K. Shiraishi, T. Nakayama, T. Nakaoka, A. Ohta, and S. Miyazaki / ECS Transactions, Volume 13, Issue 2 (2008) pp. 21-27. / Theory of Metal/Dielectric Interfaces -Breakdown of Schottky Barrier Limits-
  3. K. Makihara, K. Shimanoe, Y. Kawaguchi, M. Ikeda, S. Higashi and S. Miyazaki / Japanese Journal of Applied Physics, Vol.47, No.4 (2008) pp. 3099-3102. / Self-Assembling Formation of Ninanodots on SiO2 Induced by Remote H2-plasma Treatment and Their Electrical Charging Characteristics
  4. R. Matsumoto, M. Ikeda, S. Higashi and S. Miyazaki / Japanese Journal of Applied Physics, Vol.47, No.4 (2008) pp. 3103-3106 / Characterization of Multistep Electron Charging and Discharging of a Silicon Quantum Dots Floating Gate by Applying Pulsed Gate Biases
  5. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki / Institute of Electronics, Information and Communication Engineers Trans. on Electronics, Vol. E91-C, No. 5 (2008) pp. 712-715. / Progress on Charge Distribution in Multiply-Stacked Si Quantum Dots / SiO2 Structure as Evaluated by AFM/KFM
  6. K. Makihara, A. Kawanami, M. Ikeda, S. Higashi and S. Miyazaki / Electrochemical Society Transaction, Vol.16, No.10 (2008) pp. 255-260. / Nucleation Control for High Density Formation of Si-based Quantum Dots on Ultrathin SiO2
  7. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki / Thin Solid Films, Vol.517, No. 1 (2008) pp. 306-308. / Impact of impurity Doping into Si Quantum Dots with Ge Core on Their Electrical Charging Characteristics
  8. S. Miyazaki, K. Makihara and M. Ikeda / Thin Solid Films, Vol.517, No. 1 (2008) pp. 41-44. / Control of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application
  9. T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki / Thin Solid Films, Vol.517, No. 1 (2008) pp. 216-218. / Low Temperature High-rate Growth of Crystalline Ge Films on Quartz and Crystalline Si Substrates from VHF Inductively-Coupled Plasma of GeH4
  10. T. Hosoi, K. Sano, A. Ohta, K. Makihara, H. Kaku, S. Miyazaki and K. Shibahara / Surface and Interface Analysis, Vol 40 (2008) pp. 1126-1130. / Interface Properties and Effective Work Function of Sb-Predoped Fully Silicided NiSi Gate
  11. T. Okada, S. Higashi, H. Kaku, H. Furukawa and S. Miyazaki / ECS Transactions, Volume 16 (2008) pp. 177-182. / Formation of Si Nanocrystals in SiOx Films Induced by Thermal Plasma Jet Annealing and Its Application to Floating Gate Memory
  12. T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, T. Matsui, A. Masuda and M. Kondo / Japanese Journal of Applied Physics, Vol. 47, No. 8 (2008) pp. 6949-6952.
  13. H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami and S. Miyazaki / ECS Transactions, Volume 13, Issue 1 (2008) pp. 31-36. / In-situ Monitoring of Si Wafer Temperature during Millisecond Rapid Thermal Annealing
  14. H. Furukawa, S. Higashi, T. Okada, H. Kaku, H. Murakami and S. Miyazaki / Japanese Jounal of Applied Physics Vol. 47 (2008) No. 4B p.2460-2463. / In-situ Measurement of Temperature Variation in Si Wafer during Millisecond Rapid Thermal Annealing Induced by Thermal Plasma Jet Irradiation
  15. T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami, S. Miyazaki / Thin Solid Films, 516 (2008) pp. 3680-3683. / Effect of He addition on the heating characteristics of substrate surface irradiated by Ar thermal plasma jet
  16. T. Karakawa, S. Higashi, H. Murakami and S. Miyazaki / Thin Solid Films, Vol. 516 (2008) pp. 3497-3501. / Nucleation study of hydrogenated microcrystalline silicon (μc-Si:H) films deposited by VHF-ICP
  17. K. Sakaike, S. Higashi, H. Murakami and S. Miyazaki / Thin Solid Films, Vol. 516 (2008) pp.3595-3600. / Crystallization of amorphous Ge films induced by semiconductor diode laser annealing
  18.  




2007年度

 
  1. A. Uedono, R. Hasunuma, K. Shiraishi, K. Yamabe, S. Inumiya, Y. Akasaka, S. Kamiyama, T. Matsuki, T. Aoyama, Y. Nara, S. Miyazaki, H. Watanabe, N. Umezawa, T. Chikyow, S. Ishibashi, T. Ohdaira, R. Suzuki and K. Yamada / ECS Transactions, Volume 11, Issue 4 (2007) pp. 81-90. / Vacancy-Type Defects in MOSFETs with High-k Gate Dielectrics Probed by Monoenergetic Positron Beams
  2. M. Sato, K. Yamabe, K. Shiraishi, S. Miyazaki, K. Yamada, C. Tamura, R. Hasunuma, S. Inumiya, T. Aoyama, Y. Nara and Y. Ohji / ECS Transactions, Volume 11, Issue 4 (2007) pp. 615-627. / Microscopic Understanding of PBTI and NBTI Mechanisms in High-k / Metal Gate Stacks
  3. R. Hasunuma, T.Naito,C.Tamura, A. Uedono, K. Shiraishi, N. Umezawa, T. Chikyow, S. Inumiya, M. Sato, Y.Tamura, H. Watanabe, Y. Nara, Y. Ohji, S. Miyazaki, K. Yamada and K. Yamabe / ECS Transactions, Volume 11, Issue 4 (2007) pp. 3-11. / Tight Distribution of Dielectric Characteristics of HfSiON in Metal Gate Devices
  4. N. Umezawa, K. Shiraishi, S. Miyazaki, A. Uedono, Y. Akasaka, S. Inumiya, A. Oshiyama, R. Hasunuma, K. Yamabe, H. Momida, T. Ohno, K. Ohmori, T. Chikyow, Y. Nara and K. Yamada / ECS Transactions, Volume 11, Issue 4 (2007) pp. 199-211. / Role of the Ionicity in Defect Formation in Hf-Based Dielectrics
  5. M. Kadoshima, Y. Sugita, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara and Y. Ohji / ECS Transactions, Volume 11, Issue 4 (2007) pp. 169-180. / Improvement in Fermi-Level Pinning of p-MOS Metal Gate Electrodes on HfSiON by Employing Ru Gate Electrodes
  6. K. Shiraishi, Y. Akasaka, G. Nakamura, M. Kadoshima, H. Watanabe, A. Ohta, S. Miyazaki, K. Ohmori, T. Chikyow, K. Yamabe, Y. Nara, Y. Ohji and K. Yamada / ECS Transactions, Volume 11, Issue 4 (2007) pp. 125-133. / Theoretical Studies on Fermi Level Pining of Hf-Based High-k Gate Stacks Based on Thermodynamics
  7. K. Shiraishi, Y. Akasaka, G. Nakamura, T. Nakayama, S. Miyazaki, H. Watanabe, A. Ohta, K. Ohmori, T. Chikyow, Y. Nara, K. Yamabe and K. Yamada / ECS Transactions, Volume 6, Issue 1 (2007) pp. 191-204. / Theoretical Studies on Metal/high-k Gate Stacks
  8. J. Xu, K. Makihara, H. Deki, Y. Kawaguchi, H. Murakami, S. Higashi and S. Miyazaki / Solid State Phenomena, Vol.121-123 (2007) pp. 557-560./ Light Emitting Diode with MOS Structures Containing Multiple-Stacked Si Quantum Dots
  9. A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya and Y. Nara / Microelectronic Engineering, Vol.84 (2007) pp.2386-2389. / Characterization of Chemical Bonding Features and Defect State Density in HfSiOxNy/SiO2 Gate Stack
  10. T. Sakata, K. Makihara, H. Deki, S. Higashi and S. Miyazaki / Materials Science Forum, Vol.561-565 (2007) pp.1209-1212. / High Rate Growth of Highly-Crystallized Ge Films on Quartz from VHF Inductively-Coupled Plasma of GeH4 + H2
  11. R. Nishihara, K. Makihara, Y. Kawaguchi, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki / Materials Science Forum, Vol.561-565 (2007) pp.1213-1216. / Characterization of Electronic Charged States of Nickel Silicide Nanodots Using AFM/Kelvin Probe Technique
  12. S. Miyazaki, M. Ikeda and K. Makihara / Electrochemical Society Transaction, Vol.11, No.6 (2007) p.233-243. / Characterization of Electronic Charged States of Si-Based Quantum Dots for Floating Gate Application
  13. M. Kadoshima, Y. Suginta, K. Shiraishi, H. Watanabe, A. Ohta, S. Miyazaki, K. Nakajima, T. Chikyow, K. Yamada, T. Aminaka, E. Kurosawa, T. Matsuki, T. Aoyama, Y. Nara and Y. Ohji / Electrochemical Society Transactions, Vol. 11 No. 4 (2007) pp. 169-180. / Improvement in Fermi-Level Pinning of p-MOS Metal Gate Electodes on HfSiON by Employing Ru Gate Electrodes
  14. K. Shiraishi, Y. Akasaka, G. Nakamura, T. Nakayama, S. Miyazaki, H. Watanabe, A. Ohta, K. Ohmori, T. Chikyow, Y. Nara and K. Yamabe / Electrochemical Society Transactions, Vol. 6, No. 1 (2007) p. 191. / Theoretical Studies on Metal/High-k Gate Stacks
  15. K. Iwamoto, T. Nishimura, A. Ohta, K. Tominaga, T. Nabatame, S. Miyazaki, and A. Toriumi / Japanese Journal of Applied Physics, Vol. 46, No. 12 (2007) pp. 7666-7670. / Performance Improvement of HfAlOxN n-Channel Metal-Oxide-Semiconductor Field-Effect Transistors by Controlling the Bonding Configuration of Nitrogen Atoms Coordinated to Hf Atoms
  16. A. Ohta, Y. Munetaka, A. Tsugou, K. Makihara, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya and Y. Nara / Microelectronic Engineering Vol.84 (2007) pp. 2386-2389. / Characterization of chemical bonding features and defect state density in HfSiOxNy/SiO2 gate stack
  17. T. Okada, S. Higashi, H. Kaku, T. Yorimoto, H. Murakami and S. Miyazaki / Solid-State Electronics, 52 (2008) pp. 377-380. / Growth of Si crystalline in SiOx films induced by millisecond rapid thermal annealing using thermal plasma jet
  18. T. Yorimoto, S. Higashi, H. Kaku, T. Okada, H. Murakami, S. Miyazaki, M. Maki and T. Sameshima / Transactions of the Materials Research Society of Japan, Vol.32 No.2 (2007) pp. 465-468. / Electrical Characteristics of Lightly-Doped Si Films Crystallized by Thermal Plasma Jet Irradiation
  19. T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami and S. Miyazaki / Thin Solid Films, Vol. 515 (2007) pp. 4897-4900. / Control of Substrate Surface Temperature in Millisecond Annealing Technique Using Thermal Plasma Jet
  20.  




2006年度

 
  1. K. Makihara, J. Xu, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki / Thin Solid Films, Vol.508, No.1-2 (2006) pp. 186-189. / Characterization of electronic charged states of P-doped Si quantum dots using AFM/Kelvin probe
  2. J. Nishitani, K. Makihara, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki / Thin Solid Films, Vol.508, No.1-2 (2006) pp. 190-194. / Decay characteristics of electronic charged states of Si quantum dots as evaluated by an AFM/Kelvin probe technique
  3. K. Makihara, M. Ikeda, T. Nagai, H. Murakami, S. Higashi and S. Miyazaki / Transactions of Materials Research Society of Japan, Vol.31, No.1 (2006) pp. 133-136. / Fabrication of Multiply-Stacked Si Quantum Dots for Floating Gate MOS Devices
  4. T. Sakata, K. Makihara, S. Higashi and S. Miyazaki / Thin Solid Films, Vol.515, No.12 (2006) pp.4971-4974. / Growth of Crystallized Ge Films from VHF-Inductively Coupled Plasma of H2-Diluted GeH4
  5. S. Miyazaki, M. Ikeda and K. Makihara / Electrochemical Society Transaction, Vol.2, No.1 (2006) p.157-164. / Characterization of Electronic Charged States of Si-Based Quantum Dots and Their Application to Floating Gate Memories
  6. K. Makihara, M. Ikeda, S. Higashi and S. Miyazaki / Electrochemical Society Transaction, Vol.3, No.7 (2006) pp. 257-262. / Study of Charged states of Si Quantum Dots with Ge Core
  7. T. Nakayama, K. Shiraishi, S. Miyazaki, Y. Akasaka, K. Torii, P. Ahmet, K. Ohmori, N. Umezawa, H. Watanabe, T. Chikyow, Y. Nara, A. Ohta, H. Iwai, K. Yamada and T. Nakaoka / Electrochemical Society Transaction, Vol. 3 No. 3 (2006) pp. 129-140. / Physics of Metal/High-k Interfaces
  8. S. Miyazaki, A. Ohta, S. Inumiya, Y. Nara and K. Yamada / Electrochemical Society Transaction Vol. 3 No. 3 (2006) pp. 171-180. / Depth Profiling of Chemical and Electronic Structures and Defects of Ultrathin HfSiON on Si(100)
  9. Y. Munetaka, F. Takeno, A. Ohta, H. Murakami, S. Higashi, S. Miyazaki / Transactions of the Materials Research Society of Japan , Vol. 31, No. 1 (2006) pp. 145-148. / Characterization of FUSI-PtSi Formed on Ultrathin HfO2/Si(100) by Photoelectron Spectroscopy
  10. M. Taira, A. Ohta, H. Nakagawa, S. Miyazaki, K. Yoneda, M. Horikawa and K. Koyama / Transactions of the Materials Research Society of Japan , Vol. 31, No. 1 (2006) pp. 149-152. / Influence of thermal annealing on defect states and chemical structures in ultrathin Al2O3/SiN/poly-Si
  11. H. Nakagawa, A. Ohta, M. Taira, H. Abe,H. Murakami, S. Higashi, S. Miyazaki / Transactions of the Materials Research Society of Japan ,Vol. 31 No. 1 (2006) pp. 153-156. / Nitridation of Ge(100) Surfaces by Vacuum-ultra violet (VUV) Irradiation in NH3 Ambience
  12. H. Abe, H. Nakagawa, M. Taira, A. Ohta, S. Higashi and S. Miyazaki / Transactions of the Materials Research Society of Japan , Vol. 31, No. 1 (2006) pp. 157-160. / Impact of Nitrogen Incorporation into Yittrium Oxide on Chemical Bonding Features and Electrical Properties
  13. A. Ohta, H. Murakami, S. Higashi and S. Miyazaki / Journal of Surface Science and Nanotechnology , Vol. 4 (2006) pp. 174-179. / Photoemission Study of Ultrathin GeO2/Ge Heterostructures Formed by UV-O3 Oxidatione-
  14. A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, S. Miyazaki, S. Inumiya and Y. Nara / Transactions of the Materials Research Society of Japan, Vol. 31 No. 1 (2006) pp. 125-128. / Photoemission Study of Ultrathin HfSiON/Si(100) Systems
  15. T. Nagai, M. Ikeda, Y. Shimizu, S. Higashi and S. Miyazaki / Trans. of the Mat. Res. Soc. of Japan , Vol. 31, No. 1 (2006) pp. 137-140. / Multistep Electron Charging to and Discharging from Silicon-Quantum-Dots Floating Gate in nMOSFETs
  16. N. Umezawa, K. Shiraishi, Y. Akasaka, S. Inumiya, A. Uedono, S. Miyazaki, T. Chikyow, T.Ohno, Y. Nara and K. Yamada / Transactions of the Materials Research Society of Japan, Vol. 31, No. 1 (2006) pp. 129-132. / An Unfavorable Effect of Nitrogen Incorporation on Reduction in the Oxygen Vacancy Formation Energy
  17. N. Kosku and S. Miyazaki / J. Non-Cryst. Solid, Vol. 352 (2006) pp. 911-914. / The Application of very High Frequency Inductively-coupled Plasma to High-Rate Growth of Microcrystalline Silicon Films
  18. N. Kosku and S. Miyazaki / Thin Solid Films, Vol. 511-512 (2006) pp. 265-270. / High-rate Growth of Highly-crystallized Si Films from VHF Inductively-Coupled Plasma CVD
  19. A. Yamashita, Y. Okamoto, S. Higashi, S. Miyazaki, H. Watakabe and T. Sameshima / Thin Solid Films, Vol. 508 (2006) pp. 53-56. / In-Situ Observation of Rapid Crystalline Growth Induced by Excimer Laser Irradiation to Ge/Si Stacked Structure
  20. K. Sakaike, S. Higashi, H. Kaku, H. Murakami and S. Miyazaki / Japanese Journal of Applied Physics, Vol. 46, No. 3B (2007) pp. 1276-1279. / Melting and Solidification of Microcrystalline Si Films Induced by Semiconductor Diode Laser Irradiation
  21. T. Okada, S. Higashi, H. Kaku, N. Koba, H. Murakami and S. Miyazaki / Jpn. J. Appl. Phys., Vol. 45 No. 5B (2006) pp. 4355-4357. / Analysis of Transient Temperature Profile During Thermal Plasma Jet Annealing of Si Films on Quartz Substrate
  22. S. Higashi, H. Kaku, T. Okada, H. Murakami and S. Miyazaki / Jpn. J. Appl. Phys., Vol. 45 No. 5B (2006) pp. 4313-4320. / Crystallization of Si in Millisecond Time Domain Induced by Thermal Plasma Jet Irradiation
  23. T. Nakayama, K. Shiraishi, S. Miyazaki, Y. Akasaka, T. Nakaoka, K. Torii, A. Ohta, P. Ahmet, K. Ohmori, N. Umezawa, H. Watanabe, T. Chikyow, Y. Nara, H. Iwai and K. Yamada / ECS Transactions, Volume 3, Issue 3 (2006) pp. 129-140. / Physics of Metal/High-k Interfaces
  24. K. Shiraishi, K. Torii, Y. Akasaka, T. Nakayama, T. Nakaoka, S. Miyazaki, T. Chikyow, K. Yamada and Y. Nara / ECS Transactions, Volume 1, Issue 5 (2006) pp. 479-493. / Theoretical Studies on the Physical Properties of Poly-Si and Metal Gates/HfO2 Related High-k Dielectrics Interfaces
  25. N. Umezawa, K. Shiraishi, H. Watanabe, K. Torii, Y. Akasaka, S. Inumiya, M. Boero, A. Uedono, S. Miyazaki, T. Ohno, T. Chikyow, K. Yamabe, Y. Nara and K. Yamada / ECS Transactions, Volume 2, Issue 1 (2006) pp. 63-78. / Extensive Studies for Effects of Nitrogen Incorporation into Hf-based High-k Gate Dielectrics
  26. K. Shiraishi, T. Nakayama, Y. Akasaka, S. Miyazaki, T. Nakaoka, K. Ohmori, P. Ahmet, K. Torii, H. Watanabe, T. Chikyow, Y. Nara, H. Iwai and K. Yamada / ECS Transactions, Volume 2, Issue 1 (2006) pp. 25-40. / New Theory of Effective Workfunctions at Metal/High-k Dielectric Interfaces -Application to Metal/High-k HfO2 and La2O3 Dielectric Interfaces
  27.  




2005年度

 
  1. K. Makihara, H. Deki, H. Murakami, S. Higasi and S. Miyazaki / Applied Surface Science, Vol.244, No.1-4 (2005) pp. 75-78. / Control of the Nucleation Density of Si Quantum Dots by Remote Hydrogen Plasma Treatment
  2. Y. Okamoto, K. Makihara, H. Murakami, S. Higasi and S. Miyazaki / Applied Surface Science, Vol.244, No.1-4 (2005) pp. 12-15./ Formation of Microcrystalline Germanium (mc-Ge:H) Films From Inductively-Coupled Plasma CVD
  3. K. Makihara, Y. Okamoto, H. Murakami, S. Higashi and S. Miyazaki / Institute of Electronics, Information and Communication Engineers Trans. on Electronics, Vol. E88-C No. 4 (2005) pp. 705-708. / Characterization of Germanium Nanocrystallites Grown on SiO2 by a Conductive AFM Probe Technique
  4. S. Nagamachi, A. Ohta, F. Takeno, H. Nakagawa, H. Murakami, S. Miyazaki, T. Kawahara and K. Torii / Transactions of the Materials Research Society of Japan, Vol. 30 No. 1 (2005) pp. 197-200. / Analysis of Leakage Current through Al/HfAlOx/SiONx/Si(100) MOS Capacitors
  5. F. Takeno, A. Ohta, S. Miyazaki, K. Komeda, M. Horikawa and K. Koyama / Transactions of the Materials Research Society of Japan, Vol. 30 No. 1 (2005) pp. 213-217. / Impact of Rapid Thermal Anneal on ALCVD-Al2O3/Si3N4/Si(100) Stack Structures-Photoelectron Spectroscopy
  6. H. Kaku, S. Higashi, H. Taniguchi, H. Murakami and S. Miyazaki / Appl. Surf. Sci., Vol. 244, No. 1-4 (2005) pp. 8-11. / A new crystallization technique of Si films on glass substrate using thermal plasma jet
  7. N. Kosku, H. Murakami, S. Higashi and S. Miyazaki / Appl. Surf. Sci., Vol. 244, No. 1-4 (2005) pp. 39-42. / Influence of substrate dc bias on crystallinity of silicon films grown at a high rate from inductively-coupled plasma CVD
  8. H. Murakami, Y. Moriwaki, M. Fujitake, D. Azuma, S. Higashi and S. Miyazaki / IEICE Trans. on Electronics, Vol. E88-C, No. 4 (2005) pp. 646-650. / Characterization of Atom Diffusion in Polycrystalline Si/SiGe/Si Stacked Gate
  9. H. Murakami, W. Mizubayashi, H. Yokoi, A. Suyama and S. Miyazaki / IEICE Trans. on Electronics, Vol. E88-C, No. 4 (2005) pp. 640-645. / Electrical Characterization of Aluminum-Oxynitride Stacked Gate Dielectrics Prepared by a Layer-by-Layer Process of Chemical Vapor Deposition and Rapid Thermal Nitridation
  10. T. Shibaguchi, M. Ikeda, H. Murakami and S. Miyazaki / IEICE Trans. on Electronics, Vol. E88-C, No. 4 (2005) pp. 709-712. / Charging and Discharging Characteristics of Stacked Floating Gates of Silicon Quantum Dots
  11. Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara, K. Torii and Y. Nara / in Physics and Chemistry of SiO2 and the Si-SiO2 Interface-5 ECS Trans., Vol. 1, No. 1 (2005) pp. 163-172. / Characterization of Charge Trapping and Dielectric Breakdown of HfAlOX/SiON Dielectric Gate Stack
  12. S. Higashi, H. Kaku, H. Murakami, S. Miyazaki, H. Watakabe, N. Ando and T. Sameshima / Jpn. J. of Appl. Phys., Vol. 44, No. 3 (2005) pp. L108-L110. / Application of Plasma Jet Crystallization Technique to Fabrication of Thin-Film Transistor
  13. S. Miyazaki, T. Shibaguchi and M. Ikeda / Mat. Res. Soc. Symp. Proc., Vol. 830 (2005) pp. 249-254. / Characterization of Electronic Charged States of Silicon Nanocrystals as a Floating Gate in MOS Structures
  14. F. Takeno, A. Ohta, S. Miyazaki, K. Komeda, M. Horikawa and K. Koyama / Trans. of the Mat. Res. Soc. of Japan , Vol. 30, No. 1 (2005) pp. 213-217. / Impact of Rapid Thermal Anneal on ALCVD-Al2O3/Si3N4/Si(100) Stack Structures-Photoelectron Spectroscopy
  15. H. Kaku, S. Higashi, S. Miyazaki, M. Asami, H. Watakabe, N. Andoh and T. Sameshima / Trans. of the Mat. Res. Soc. of Japan , Vol. 30, No. 1 (2005) pp. 283-286. / Fabrication of Polycrystalline Si Thin Film Transistor Using Plasma Jet Crystalliztion Technique
  16. K. Torii, K. Shiraishi, S. Miyazaki, K. Yamabe, M. Boero, T. Chikyow, K. Yamada, H. Kitajima and T. Arikado / Trans. of the Mat. Res. Soc. of Japan , Vol. 30, No. 1 (2005) pp. 191-195. / The Role of Oxygen-related Defects on the Reliabilities of HfO2-based High-k Gate Insulators
  17. N. Kosku and S. Miyazaki / Trans. of the Mat. Res. Soc. of Japan , Vol. 30, No. 1 (2005) pp. 279-282. / High-Rate Growth of Highly-Crystallized Si Films from VHF Inductively-Coupled Plasma CVD
  18. S. Nagamachi, A. Ohta, F. Takeno, H. Nakagawa, H. Murakami, S. Miyazaki, T. Kawahara and K. Torii / Trans. of the Mat. Res. Soc. of Japan , Vol. 30, No. 1 (2005) pp. 197-200. / Analysis of Leakage Current through Al/HfAlOx/SiONx/Si(100) MOS Capacitors
  19. Y. Pei, S. Nagamachi, H. Murakami, S. Higashi, S. Miyazaki, T. Kawahara and K. Torii / Trans. of the Mat. Res. Soc. of Japan , Vol. 30, No. 1 (2005) pp. 205-208. / Electrical Characterization of HfAlOx/SiON Dielectric Gate Capacitors
  20. 宮崎 誠一 / 月刊マテリアルステージ, Vol. 5, No. 3 (2005) pp. 18-24. / 自己組織化シリコン系量子ドットを用いた次世代・機能メモリ開発
  21. 宮崎 誠一 / 第34回薄膜・表面物理基礎講座(JSAP No.AP052348), (2005) pp. 25-34. / ゲート絶縁膜およびMOS界面の化学構造および電子状態分析
  22. 宮崎 誠一 / 表面技術, Vol. 56, No. 12, 2005 / シリコン系量子ドットのフローティングゲートMOSデバイス応用
  23.  




2004年度

 
  1. K. Makihara, Y. Okamoto, H. Nakagawa, M. Ikeda, H. Murakami, S. Higashi and S. Miyazaki / Thin Solid Films, Vol.457 (2004) pp. 103-108. / Electrical Characterization of Ge Microcrystallites by Atomic Force Microscopy Using a Conducting Probe
  2. H. Nakagawa, A. Ohta, F. Takeno, S. Nagamachi, H. Murakami, S. Higashi and S. Miyazaki / Japanese Journal of Applied Physics. Vol. 43 No. 11B (2004) pp. 7890-7894. / Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH3-nitrided Si(100)
  3. A. Ohta, M. Yamaoka and S. Miyazaki / Microelectronic Engineering Vol.72 (2004) pp. 154-159. / Photoelectron Spectroscopy of ultrathin yttrium oxide films on Si(100)
  4. A. Ohta, H. Nakagawa, H. Murakami, S. Higashi, T, Kawahara, K. Torii and S. Miyazaki / Japanese Journal of Applied Physics, Vol. 43 No. 11B (2004) pp. 7831-7836. / Impact of Rapid Thermal O2 Anneal on Dielectric Stack Structures of Hafnium Aluminate and Silicon Dioxide Formed on Si(100)
  5. A. Sakai, S. Sakashita, M. Sakashita, S. Zaima and S. Miyazaki / Appl. Phys. Lett. , Vol. 85, No. 22 (2004) pp. 5322-5324. / Praseodymium silicate formed by postdeposition high-temperature aneeling
  6. Y. Darma, Hideki Murakami and S. Miyazaki / Appl. Surf. Sci., Vol. 224 (2004) pp. 156-159. / Influence of Thermal Annealing on Compositional Mixing and Crystallinity of Highly-Selective Grown Si Dots with Ge Core
  7. W. Mizubayashi, Y. Yoshida, H. Murakami, S. Miyazaki and M. Hirose / IEEE Electron Device Lett. , Vol. 25, No. 5 (2004) pp. 305-307. / Statistical Analysis of Soft and Hard Breakdown in 1.9-4.8nm-thick Gate Oxides
  8. A. Teshima and S. Miyazaki / Jpn. J. Appl. Phys., Vol. 43, No. 8A (2004) pp. 5129-5133. / New Analytical Modeling for Photoinduced Discharge Characteristics of Photoreceptors
  9. W. Mizubayashi and S. Miyazaki / Jpn. J. Appl. Phys., Vol. 43, No. 10 (2004) pp. 6925-6929. / Analysis of Soft Breakdown of 2.6-4.9nm-Thick Gate Oxides
  10. S. Higashi, H. Kaku, H. Taniguchi, H. Murakami and S. Miyazaki / Thin Solid Films, Vol. 487 (2005) pp. 122-125. / Crystallization of Si Films on Glass Substrate Using Thermal Plasma Jet
  11. 宮崎 誠一 / 新訂版 表面科学の基礎と応用 (エヌ・ティー・エス, 第3編 第1章 第2節−1), (2004) pp. 879-889. / 半導体界面極薄Si酸化膜およSi/SiO2界面の分析
  12.  




2003年度

 
  1. M. Yamaoka, H. Murakami and S. Miyazaki / Appl. Surf. Sci. , Vol. 216/1-4 (2003) pp. 223-227. / Diffusion and Incorporation of Zr into Thermally-Grown SiO2 on Si(100)
  2. S. Miyazaki, M. Narasaki A. Suyama M. Yamaoka and H. Murakami / Appl. Surf. Sci. , Vol. 216/1-4 (2003) pp. 252-257. / Electronic Structure and Energy Band Offsets for Ultrathin Silicon Nitride on Si(100)
  3. M. Ikeda, Y. Shimizu, H. Murakami and S. Miyazaki / Jpn. J. Appl. Phys., Vol. 42, No. 6B (2003) pp. 4134-4137. / Multiple-Step Electron Charging in Silicon-Quantum-Dot Floating Gate Metal-Oxide-Semiconductor Memories
  4. Y. Darma, H. Murakami and S. Miyazaki / Jpn. J. Appl. Phys., Vol. 42, No. 6B (2003) pp. 4129-4133. / Formation of Nanometer Silicon Dots with Germanium Core by Highly-Slective Low-Pressure Chemical Vapor Deposition
  5. S. Miyazaki, H. Yamashita, H. Nakagawa and M. Yamaoka / Mat. Res. Soc. Symp. Proc., Vol. 747 (2003) pp. 281-286. / Photoemission Study of Interfacial Oxidation in ZrO2/Sub-Nanometer SiONx/Si(100) Stacked
  6. Y. Darma, R. Takaoka, H. Murakami and S. Miyazaki / Nanotechnology , Vol. 14 (2003) pp. 413-415. / Self-assembling formation of silicon quantum dots with a germanium core by low-pressure chemical vapor deposition
  7. N. Kosku, F. Kurisu, M. Takegoshi, H. Takahashi and S. Miyazaki / Thin Solid Films , Vol. 435 (2003) pp. 39-43. / High-rate deposition highly crystallized silicon films from inductively coupled plasma
  8. 広瀬 全孝, 宮崎 誠一 / 人工格子の基礎 (シーエムシー出版, 第3章, 2003)pp. 143-155. / アモルファス半導体人工格子
  9. 宮崎 誠一 / 薄膜工学 (丸善株式会社, 2.3, 2003)pp. 95-118. / 化学気相成長法
  10. 宮崎 誠一 / 21世紀版 薄膜作製応用ハンドブック (エヌ・ティー・エス, 第2章第3節, 2003) pp. 384-393. / プラズマCVD法
  11.  




2002年度

 
  1. T. Kikkawa, N. Fujiwara, H. Yamada and S. Miyazaki / Appl. Phys. Lett. , Vol. 81, No. 15 (2002) pp. 2821-2823. / Energy band structure of Ru/(Ba,Sr)TiO3/Si capacitor deposited by inductively-coupled plasma-assisted radio-freqency-magnetron plasma
  2. S. Miyazaki / Appl. Surf. Sci., Vol. 190/1-4 (2002) pp. 66-74. / Characterization of High-k Gate Dielectric/Silicon Interfaces
  3. A. Teshima and S. Miyazaki / Jpn. J. Appl. Phys., Vol. 41, No. 11B (2002) pp. L1294-L1296. / Improved Perfomance of Amorphous Silicon Photoreceptor by Using a Thick Surface Layer with a Graded-Band-Gap Structure
  4. H. Murakami, T. Mihara, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 41, No. 5A (2002) pp. L512-L514. / Carrier Depletion Effect in the n+Poly-Si Gate Side-Wall/SiO2 Interfaces as Evaluated by Gate Tunnel Leakage Current
  5. W. Mizubayashi, Y. Yoshida, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 41, No. 4B (2002) pp. 2426-2430. / Quantitative Analysis of Oxide Voltage and Field Dependence of Time-Dependent Dielectric Soft Breakdown and Hard Breakdown in Ultrathin Gate Oxides
  6. S. Miyazaki, M. Narasaki, M. Ogasawara and M. Hirose / Solid State Electronics , Vol. 16 (2002) pp. 1679-1685. / Chemical and Electronic Structure of Ultrathin Zirconium Oxide Films on Silicon as Determined by Photoelectron Spectroscopy
  7. 宮崎 誠一 / マテリアル インテグレーション, Vol. 5, No. 15(2002)pp. 53-60. / シリコン量子ドットの自己組織化形成とメモリデバイス応用
  8. 香野 淳, 池田 弥央, 村上 秀樹, 宮崎 誠一, 廣瀬全孝 / 応用物理, Vol. 71, No. 7 (2002) pp. 864-868. / シリコン量子ドットを用いたメモリーデバイスの開発
  9.  




2001年度

 
  1. Y. Hirano, F. Sato, N. Saito, M. Abe, S. Miyazaki and M. Hirose / Appl. Phys. Lett. , Vol. 79, No. 14(2001)pp. 2255-2257. / Photoconductive Properties of Nanometer-Sized Si Dot Multilayers
  2. M. Koh, W. Mizubayashi, K. Iwamoto, H. Murakami, T. Ono, M. Tsuno, T. Mihara, K. Shibahara, S. Miyazaki and M. Hirose / IEEE Trans. on Electron Devices, Vol. 48, No. 2(2001)pp. 259-264. / Limit of Gate Oxide Thickness Scaling in MOSFETs due to Apparent Threshold Voltage Fluctuation Induced by Tunnel Leakage Current
  3. S. Miyazaki / J. Vac. Sci. Technol., Vol. B19, No. 6(2001)pp. 2212-2216. / Photoemission Study of Energy Band Alignments and Gap State Density Distributions for High-k Gate Dielectrics
  4. A. Kohno, H. Murakami, M. Ikeda, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 40, No. 7B(2001)pp. L721-L723. / Memory Operation of Silicon Quantum-Dot Floating Gate Metal-Oxide-Semiconductor Field-Effect Transistors
  5. S. Miyazaki, M. Narasaki, M. Ogasawara and M. Hirose / Microelec. Eng., Vol. 59(1-4)(2001)pp. 373-378. / Characterization of Ultrathin Zirconium Oxide Films on Silicon Using Photoelectron Spectroscopy
  6. N. Sakikawa, Y. Shishida, S. Miyazaki and M. Hirose / Solar Energy Materials and Solar Cells, Vol. 66(2001)pp. 337-343. / High-Rate Deposition of Hydrogenated Amorphous Silicon Films Using Inductively-Coupled Silane Plasma
  7. S. Miyazaki, K. Morino and M. Hirose / Solid State Phenomena, Vol. 76-77(2001)pp. 149-152. / Influence of Boron and Fluorine Incorporation on the Network Structure of Ultrathin SiO2
  8. S. Miyazaki, M. Ikeda, E. Yoshida, N. Shimizu and M. Hirose / Springer Proc. in Phys. 87: Proc. of 25th Int. Conf. on the Physics of Semiconductor, Vol. 19(6)(2001)pp. 373-374. / Nucleation Site Control in Self-Assembling of Si Quantum Dots on Ultrathin SiO2/c-Si
  9.  




2000年度

 
  1. Khairurrijial, W. Mizubayashi, S. Miyazaki and M. Hirose / Appl. Phys. Lett. , Vol. 77, No. 22(2000)pp. 3580-3582. / Unified Analytic Model of Direct and Fowler-Nordheim Tunnel Currents through Ultrathin Gate Oxides
  2. S. Miyazaki, T. Tamura, M. Ogasawara, H. Itokawa, H. Murakami and M. Hirose / Appl. Surf. Sci., Vol. 159-160(2000)pp. 75-82. / Influence of Nitrogen Incorporation in Ultrathin SiO2 on the Structure and Electronic States of the SiO2/Si(100) Interface
  3. Khairurrijial, W. Mizubayashi, S. Miyazaki and M. Hirose / J. Appl. Phys. , Vol. 87(2000)pp. 3000-3005. / Analytic Model of Direct Tunnel Current through Ultrathin Gate Oxides
  4. H. Nakata, K. Murayama, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 266-269(2000)pp. 1067-1071. / Luminescence and Absorption Edge of a-Ge:H Well Layers in a-Si:H/a-Ge:H Multilayers
  5. K. Murayama, N. Katagiri, K. Ouno, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 266-269(2000)pp. 1072-1076. / Thermalization Gaps of a-Si:H Well Layers in a-Si:H/a-Si3N4:H Multilayers
  6. S. Miyazaki, N. Fukuhara and M. Hirose / J. Non-Cryst. Solids, Vol. 266-269(2000)pp. 59-63. / Surface-Sensitive Raman Scattering Study on a-Si:H Network Formation Process During Deposition and H2 Plasma Annealing
  7. Y. Hirano, F. Sato, N. Saito, M. Abe, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 266-269(2000)pp. 1004-1008. / Fabrication of Nanometer Sized Si dot Multilayers and Their Photoluminescence Properties
  8. Y. Okazaki, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 266-269(2000)pp. 54-58. / Infrared Attenuated-Total-Reflection Spectroscopy of Microcrystalline Silicon Growth
  9. K. Murayama, M. Yamamuro and H. Nakata, S. Miyazaki and M. Hirose / J. Porous Materials, Vol. 7(2000)pp. 257-261. / Excitation Energy Evolution of Red-Luminescence Band in Porous Si
  10. N. Shimizu, M. Ikeda, E. Yoshida, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 39(2000)pp. 2318-2320. / Charging States of Si Quantum Dots as Detected by AFM/Kelvin Probe Technique
  11. M. Hirose, M. Koh, W. Mizubayashi, H. Murakami, K. Shibahara and S. Miyazaki / Semicond. Sci. Technol., Vol. 15(2000)pp. 485-490. / Fundamental Limit of Gate Oxide Thickness Scaling in Advanced MOSFETs
  12. M. Hirose, W. Mizubayashi, Khairurrijal, M. Ikeda, H. Murakami, A. Kohno, K. Shibahara, S. Miyazaki / Superlattices and Microstructures, Vol. 27, No. 5.- 6(2000)pp. 383-393. / Ultrathin Gate Dielectrics for Silicon Nanodevices
  13. W. Mizubayashi, H. Itokawa, S. Miyazaki and M. Hirose / The Physics and Chemistry of SiO2 and the Si-SiO2 Interfaces - 4: The Electrochem. Soc., Vol. PV 2000-1(2000)pp. 409-417. / Soft Breakdown Mechanism in Ultrathin Gate Oxides
  14. S. Miyazaki, Y. Hamamoto, E. Yoshida, M. Ikeda and M. Hirose / Thin Solid Films, Vol. 369(2000)pp. 55-59. / Control of Self-Assembling Formation of Nanometer Silicon Dots by Low Pressure Chemical Vapor Deposition
  15. 宮崎 誠一 / 応用物理, Vol. 69, No. 6(2000)pp. 689-694. / CVDの物理
  16. 宮崎 誠一 / 次世代ULSIプロセス技術、 廣瀬全孝他編 (リアライズ社, 12.1, 12.2.3, 12.4.1, 2000)pp. 571, 602, 637-585, 607, 642. / 表面・界面分析、XPS・ATRによる酸化膜中不純物の化学状態、FT-IR-ATRによるCVD,エッチング反応計測
  17.  




1999年度

 
  1. Y. Sasaki, J. Maeda, T. Koishi, K. Hashimoto, K. Shibahara, S. Yokoyama, S. Miyazaki and M. Hirose / J. Electrochem. Soc., Vol. 146, No. 2(1999)pp. 710-712. / High-Speed GaAs Epitaxial Lift-Off and Bonding with High Alignment Accuracy Using Sapphire Plate
  2. Khairurrijial, S. Miyazaki and M. Hirose / J. Vac. Sci. Technol., Vol. B17, No. 2(1999)pp. 306-310. / Electron Field Emission from a Silicon Subsurface Based on a Generalized Airy Function Approach
  3. Khairurrijial, S. Miyazaki, S. Takagi and M. Hirose / Jpn. J. Appl. Phys., Vol. 38, No. 1A/B(1999)pp. L30-L32. / Analytical Modeling of Metal Oxide Semiconductor Inversion-Layer Capacitance
  4. Khairurrijial, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 38, No. 3A(1999)pp. 1352-1355. / Calculation of Subband States in a Metal-Oxide-Semiconductor Inversion Layer with a Realistic Potential Profile
  5. N. Sakikawa, M. Tamao, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 38, No. 10(1999)pp. 5768-5771. / Structural Inhomogeneity on Hydrogenated Amorphous Silicon Related to the Photoelectric Properties and Defect Density
  6. S. Miyazaki, K. Shiba, N. Miyoshi, K. Etoh, A. Kohno and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 536(1999)pp. 45-50. / Luminescence Study of Self-Assembled, Silicon Quantum Dots
  7. S. Miyazaki, T. Maruyama, A. Kohno and M. Hirose / Mat. Sci. in Semiconductor Processing, Vol. 2(1999)pp. 185-190. / Electronic Defect States at Ultrathin SiO2/Si Interfaces from Photoelectron Yield Spectroscopy
  8. S. Miyazaki, T. Maruyama, A. Kohno and M. Hirose / Microelec. Eng., Vol. 48(1999)pp. 63-66. / Photoelectron Yield Spectroscopy of Electronic States at Ultrathin SiO2/Si Interfaces
  9. K. Murayama, N. Katagiri, S. Miyazaki and M. Hirose / Solid State Commun., Vol. 111(1999)pp. 693-697. / Thermalization Gaps of the Ultra-Thin a-Si:H Well Layers in a-Si:H/a-Si3N4:H Multilayers
  10.  




1998年度

 
  1. S. A. Ding, M. Ikeda, M. Fukuda, S. Miyazaki and M. Hirose / Appl. Phys. Lett. , Vol. 73, No. 26(1998)pp. 3881-8883. / Quantum Confinement Effect in Self-Assembled, Nanometer Silicon Dots
  2. K. Nakagawa, Y. Yoshida, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 227-230(1998)pp. 48-52. / Insights into Surface Reactions During a-SiGe:H Deposition and Hydrogen Plasma Annealing as Obtained from Infrared Attenuated Total Reflection Spectroscopy
  3. H. Deki, K. Nakagawa, A. Kohno, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 37, No. 2(1998)pp. 435-439. / Gap-State Distributions in Hydrogenated Amorphous Silicon-Germanium Evaluated Using Capacitance-Voltage Method
  4. K. Shiba, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 37, No. 4A(1998)pp. 1684-1688. / Luminescence Study of Thermally-Oxidized Porous Si under Subgap or Overgap Excitation
  5. M. Fukuda, W. Mizubayashi, A. Kohno, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 37, No. 12B(1998)pp. L1534-L1536. / Analysis of Tunnel Current through Ultrathin Gate Oxides
  6. N. Sakikawa, M. Tamao, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 37, No. 2(1998)pp. 432-434. / Correlation Between Light-Induced Degradation and Structural Inhomogeneities in Hydrogenated Amorphous Silicon Prepared Under High-Rate Deposition Conditions
  7. N. Sakikawa, Y. Shishida, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 37, No. 7A(1998)pp. L774-L777. / Deposition of Hydrogenated Amorphous Silicon Under Intermittent Substrate Bias
  8. N. Sakikawa, Y. Shishida, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 37, No. 4A(1998)pp. L409-L412. / In Situ Monitoring of Silicon Surfaces During Reactive Ion Etching
  9. S. Miyazaki, T. Tamura, T. Maruyama, H. Murakami, A. Kohno and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 500(1998)pp. 81-86. / Evaluation of Gap States in Hydrogen-Terminated Silicon Surfaces and Ultrathin SiO2/Si Interfaces by Using Photoelectron Yield Spectroscopy
  10. M. Hirose, W. Mizubayashi, M. Fukuda and S. Miyazaki / Silicon Materials Sci. and Technol.: The Electrochem. Soc., Vol. PV 98-1(1998)pp. 730-744. / Tunneling Current and Wearout Phenomena in Sub-5nm Gate Oxides
  11. J. Xu, K. Chen, D. Feng, S. Miyazaki and M. Hirose / Thin Solid Films, Vol. 335(1998)pp. 130-133. / Composition Dependence of Surface Morphology of Ultrathin a-SiGe:H Alloy Studying by Atomic Force Microscopy
  12. 宮崎 誠一 / ウェーハ表面完全性の創製・評価技術、 津屋英樹編 (サイエンスフォーラム, 第4章、第2節, 1998)pp. 152-159. / 洗浄法によるウェーハ表面の平坦化
  13. 宮崎 誠一, 廣瀬全孝 / 応用物理, Vol. 67, No. 7(1998)pp. 807-811. / シリコン量子ドットの自己組織化形成と発光特性
  14.  




1997年度

 
  1. J. Xu, K. Chen, D. Feng, S. Miyazaki and M. Hirose / Acta Physica Sinica, Vol. 6, No. 1(1997)pp. 52-56. / Structure and Photoelectric Properties of a-SiGe:H Alloy Produced by High Hydrogen Dilution Method
  2. M. Fukuda, K. Nakagawa, S. Miyazaki and M. Hirose / Appl. Phys. Lett. , Vol. 70, No. 17(1997)pp. 2291-2293. / Resonant Tunneling through a Self-Assembled Si Quantum Dot
  3. S. Miyazaki, J. Schaefer, J. Ristein and L. Ley / Appl. Surf. Sci, Vol. 117-118(1997)pp. 32-36. / Implication of Hydrogen-Induced Boron Passivation in Wet-Chemically Cleaned Si(111):H
  4. S. Miyazaki, H. Nishimura and M. Fukuda, L. Ley and J. Ristein / Appl. Surf. Sci., Vol. 113-114(1997)pp. 585-589. / Structure and Electronic States of Ultrathin SiO2 Thermally-Grown on Si(100) and Si(111) Surfaces
  5. J. Xu, K. Chen, D. Feng, S. Miyazaki and M. Hirose / Chinese J. Semiconductor, Vol. 18, No. 3(1997)pp. 228-231. / Study on Structural Stability of Hydrogenated Amorphous Germanium-Nitrogen Alloys
  6. M. Hirose, W. Mizubayashi, K. Morino, M. Fukuda and S. Miyazaki / Fundamental Aspects of Ultrathin Dielectrics on Si-Based Devices, Kluwer Academic Pub, (1997)pp. 315-324. / Tunneling Transport and Reliability Evaluation in Extremely Thin Gate Oxides
  7. J. Schaefer, J. Ristein, S. Miyazaki and L. Ley / J. Vac. Sci. Technol., Vol. A15, No. 2(1997)pp. 408-414. / Interface Formation Between Hydrogen Terminated Si(111) and Amorphous Hydrogenated Carbon (a-C:H)
  8. J. Maeda, Y. Sasaki, K. Shibahara, S. Yokoyama, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 36, No. 3(1997)pp. 1554-1557. / High-Rate GaAs Epitaxial Lift-Off Technique for Optoelectronic Integrated Circuits
  9. K. Shiba, K. Nakagawa, M. Ikeda, A. Kohno, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 36, No. 10A(1997)pp. L1279-1282. / Optical Absorption and Photoluminescence of Self-Assembled Silicon Quantum Dots
  10. Khairurrijial, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 36, No. 11B(1997)pp. L1541-L1544. / Quasibound States of Electric Field-Induced Quantum Wells in Silicon Subsurfaces
  11. D. Imafuku, W. Mizubayashi, S. Miyazaki and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 477(1997)pp. 101-105. / Organic Contamination of Silicon Wafer in Clean Room Air and Its Impact to Gate Oxide Integrity
  12. J. Xu, K. Chen, D. Feng, S. Miyazaki and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 446(1997)pp. 419-422. / Raman and FT-IR Study on Structure and Its Nitrogen Alloy
  13. K. Nakagawa, M. Fukuda, S. Miyazaki and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 452(1997)pp. 243-248. / Self-Assembling Formation of Silicon Quantum Dots by Low Pressure Chemical Vapor Deposition
  14. T. Osada, Y. Kawazawa, S. Miyazaki and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 477(1997)pp. 197-202. / Influence of BHF Treatments on Hydrogen-Terminated Si(100) Surfaces
  15. K. Nakagawa, M. Fukuda, S. Miyazaki and M. Hirose / Opt. Soc. of America Tech. Digest Series, Vol. 2(1997)pp. 21-23. / Self-Assembling of Silicon Quantum Dot and Its Electronic Characterization
  16. S. Miyazaki, Y. Yoshida, Y. Miyoshi and M. Hirose / Solar Energy Materials and Solar Cells, Vol. 49(1997)pp. 45-51. / Atomic Scale Characterization of a-Si:H/a-SiC:H Interface Structures
  17. K. Murayama, T. Toyama, S. Miyazaki and M. Hirose / Solid State Commun., Vol. 104(1997)pp. 119-123. / Fundamental Absorption Edge Spectrum of Ultrathin a-Si:H Film in a-Si:H/a-Si3N4:H Multilayer Obtained from Luminescence Excitation Spectrum
  18. K. Murayama, N. Komatsu, S. Miyazaki and M. Hirose / Solid State Commun., Vol. 103(1997)pp. 155-160. / Minimum in the Bandgap and Luminescence Peak Energy of Red-Luminescent Si Nanoparticles in Porous Silicon
  19. S. Miyazaki, A. Mouraguchi and K. Shiba / Thin Solid Films, Vol. 297(1997)pp. 183-187. / Fabrication of Silicon Nanocrystallines by Oxidation/Annealing of Polysilane Films and Their Luminescence Properties
  20.  




1996年度

 
  1. K. Murayama, H. Komatsu, S. Miyazaki and M. Hirose / Advanced Luminescent Materials, Vol. 95-25(1996)pp. 200-211. / Band Gap of Luminescent Porous Silicon
  2. S. Miyazaki, J. Schafer, J. Ristein and L. Ley / Appl. Phys. Lett, Vol. 68(1996)pp. 1247-1249. / Surface Fermi Level Position of Hydrogen Passivated Si(111) Surfaces
  3. C. F. O. Graeff, M. Stutzmann and S. Miyazaki / J. Appl. Phys, Vol. 79(1996)pp. 9166-9171. / Electrically Detected Magnetic Resonance in a-Si:H/a-Ge:H Multilayers
  4. J. Xu, K. Chen, D. Feng, S. Miyazaki and M. Hirose / J. Appl. Phys, Vol. 80, No. 8(1996)pp. 4703-4706. / Preparation of Hydrogenated Amorphous Germanium Nitrogen Alloys by Plasma Enhanced Chemical Vapor Deposition
  5. K. Murayama, H. Komatsu, S. Miyazaki and M. Hirose / J. Luminescence, Vol. 66-67(1996)pp. 319-322. / Luminescence Excitation Assisted by Phonons in Porous Silicon
  6. J. Xu, K. Shiba, S. Miyazaki, M. Hirose, K. Chen and D. Feng / J. Non-Cryst. Solids, Vol. 198-200(1996)pp. 582-586. / Device-Grade a-SiGe:H Alloys Prepared by Nanometer Deposition/H2 Plasma Annealing Method
  7. J. Xu, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 208(1996)pp. 277-281. / High-Quality Hydrogenated Amorphous Silicon-Germanium Alloys for Narrow Bandgap Thin Film Solar Cells
  8. K. Murayama, T. Toyama, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 198-200(1996)pp. 792-795. / Excitation Spectrum of Luminescence in a-Si:H/a-Si3N4:H Multilayers
  9. K. Murayama, H. Komatsu, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 198-200(1996)pp. 953-956. / Phonon-Assisted Luminescence Excitation in Porous Silicon
  10. K. Yamashita, H. Deki, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 198-200(1996)pp. 800-803. / Modulation Doping in a-Si:H/a-Ge:H Multilayer Structures
  11. M. Ohmura, H. Deki, K. Yamashita, S. Miyazaki / J. Non-Cryst. Solids, Vol. 198-200(1996)pp. 817-820. / Implication of Subband Broadening in the Quantum Well of a-Si:H/a-Ge:H Mulatilayers
  12. Y. Miyoshi, Y. Yoshida, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 198-200(1996)pp. 1029-1033. / Real Time Observation of Surface Reactions During a-Si:H Deposition or H2 Plasma Annealing by Using FT-IR-ATR
  13. L. Ley, J. Ristein, J. Schaefer and S. Miyazaki / J. Vac. Sci. Technol., Vol. B14(1996)pp. 3008-3012. / Near-Surface Dopant Passivation After Wet-Chemical Preparation of Si(111):H Surfaces
  14. J. Xu, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 34, No. 4A(1996)pp. 2043-2046. / Nitrogen Incorporation in a-Ge:H Produced in High-Hydrogen-Dilution Plasma
  15. K. Okamoto, S. Yamakawa, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 35, No. 4B(1996)pp. L519-L522. / Fine SiO2 Pattern Generation by Electron Beam Direct Writing onto Polysiloxene-Based Thin Films and Its Application to Etch Mask
  16. T. Doi, T. Numba, A. Uehara, M. Nagata, S. Miyazaki, K. Shibahara, S. Yokoyama, A. Iwata, T. Ae and M. Hirose / Jpn. J. Appl. Phys., Vol. 35, No. 2B(1996)pp. 1405-1409. / Optically Interconnected Kohonen Net for Pattern Recognition
  17. T. Namba, A. Uehara, T. Doi, T. Nagata, Y. Kuroda, S. Miyazaki, K. Shibahara, S. Yokoyama, A. Iwata and M. Hirose / Jpn. J. Appl. Phys., Vol. 35, No. 2B(1996)pp. 941-945. / High-Efficiency Micromirrors and Branched Optical Waveguides on Si Chips
  18. S. Miyazaki, A. Mouraguchi and M. Shinohara / Mat. Res. Soc. Symp. Proc., Vol. 417(1996)pp. 401-406. / Stable Visible Photoluminescence from Annealed Polysiloxene-Based Thin Films
  19. S. Yokoyama, K. Miyake, T. Nagata, H. Sakaue, S. Miyazaki, Y. Horiike, A. Iwata, T. Ae, M. Koyanagi and M. Hirose / Semiconductor Characterization: American Inst. of Phys. press, (1996)pp. 599-604. / GaAs/Si Optoelectronic Design and Development at Hiroshima University
  20. J. Xu, K. Chen, D. Feng, S. Miyazaki and M. Hirose / Solid State Commun., Vol. 99, No. 4(1996)pp. 269-272. / Effect of Hydrogen Plasma for Obtaining High-Quality a-SiGe:H Alloys
  21. M. Hirose, J. L. Alay, T. Yoshida and S. Miyazaki / The Physics and Chemistry of SiO2 and the Si-SiO2 Interface - 3: The Electrochem. Soc., Vol. PV 96-1(1996)pp. 485-496. / Electronic Density of States at the Ultrathin SiO2/Si Interfaces
  22. 宮崎 誠一, 廣瀬全孝 / クリーンテクノロジー, Vol. 16, No. 1(1996)pp. 21-25. / シリコン表面の状態−シリコン表面の平坦化とシリコン/酸化膜界面の構造
  23.  




1995年度

 
  1. T. Teuschler, K. Mahr, S. Miyazaki, M. Hundhausen and L. Ley / Appl. Phys. Lett. , Vol. 67, No. 21(1995)pp. 3144-3146. / Nanometer-Scale Field-Induced Oxidation of Si(111):H by a Conducting Prove Scanning Force Microscope: Doping Dependence and Kinetics
  2. C. H. Bjorkman, T. Yamazaki, S. Miyazaki and M. Hirose / J. Appl. Phys, Vol. 77, No. 1(1995)pp. 313-317. / Analysis of Infrared Attenuated Total Reflection Spectra from Thin SiO2 Films on Si
  3. S. Yokoyama, T. Nagata, Y. Kuroda, T. Doi, T. Namba, K. Miyake, T. Miyamoto, S. Miyazaki, M. Koyanagi and M. Hirose / J. Vac. Sci. Technol., Vol. A13, No. 3(1995)pp. 629-635. / Optical Waveguides on Silicon Chips
  4. C. H. Bjorkman, M. Fukuda, T. Yamasaki, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 34, No. 2B(1995)pp. 722-726. / Atomic Scale Morphology of Hydrogen-Terminated Si(100) Surfaces Studied by Fourier-Transform Infrared Attenuated Total Reflection Spectroscopy and Scanning Probe Microscopies
  5. H. Deki, M. Fukuda, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 34, No. 8B(1995)pp. L1027-L1030. / Surface Morphologies of Hydrogenated Amorphous Silicon at the Early Stages of Plasma-Enhanced Chemical Vapor Deposition
  6. J. Xu, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 34, No. 2B(1995)pp. L203-L206. / High Quality a-SiGe:H Alloys Prepared by Nanometer Deposition/H2 Plasma Annealing Method
  7. K. Miyake, T. Namba, K. Hashimoto, H. Sakaue, S. Miyazaki, Y. Horiike, S. Yokoyama, M. Konagai and M. Hirose / Jpn. J. Appl. Phys., Vol. 34, No. 2B(1995)pp. 1246-1248. / Fabrication and Evaluation of Three-Dimensional Optically-Coupled Common Memory
  8. S. Miyazaki, H. Shin, Y. Miyoshi and M. Hirose / Jpn. J. Appl. Phys., Vol. 34, No. 2B(1995)pp. 787-790. / Real-Time Monitoring of Surface Reactions during Plasma-Enhanced CVD of Silicon
  9. T. Nagata, T. Namba, Y. Kuroda, K. Miyake, T. Miyamoto, S. Yokoyama, S. Miyazaki, M. Konagai and M. Hirose / Jpn. J. Appl. Phys., Vol. 34, No. 2B(1995)pp. 1282-1285. / Single-Chip Integration of Light-Emitting Diode, Waveguide and Micormirrors
  10. T. Yoshida, D. Imafuku, J. L. Alay, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 34, No. 2B(1995)pp. L903-L906. / Quantitative Analysis of Tunneling Current Through Ultrathin Gate Oxides
  11. K. Shiba, S. Miyazaki and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 358(1995)pp. 537-542. / Excitation Time Dependence of Luminescence Decay in Thermally Oxidized Porous Si
  12. S. Yokoyama, T. Nagata, T. Namba, Y. Kuroda, T. Doi, K. Miyake, S. Miyazaki and M. Hirose / Optoelectronic Interconnects III, Proc. SPIE, (1995)pp. 89-93. / Optical Interconnection on Silicon LSI Chips
  13. K. Murayama, S. Miyazaki and M. Hirose / Solid State Commun., Vol. 93(1995)pp. 841-846. / Excitation and Recombination Process in Porous Silicon
  14. K. Murayama, S. Miyazaki and M. Hirose / The Physics of Semiconductors: World Sci. Pub., (1995)pp. 2161-2164. / Excitation and Radiative Recombination Process in Porous Silicon
  15. T. Yamazaki, C. H. Bjorkman, S. Miyazaki and M. Hirose / The Physics of Semiconductors: World Sci. Pub., (1995)pp. 2653-2656. / Local Structure of Ultra-Thin (3-25nm) SiO2 Thermally Grown on Si(100) and (111) Surfaces
  16. S. Miyazaki, K. Sakamoto, K. Shiba and M. Hirose / Thin Solid Films, Vol. 255(1995)pp. 99-102. / Photoluminescence from Anodized and Thermally Oxidized Porous Germanium
  17.  




1994年度

 
  1. K. Okamoto, M. Shinohara, T. Yamannishi, S. Miyazaki and M. Hirose / Appl. Surf. Sci. , Vol. 79-80(1994)pp. 57-61. / Laser-Induced Hydrogen Desorption from Polysilane and Its Application to Silicon Pattern Generation
  2. M. Hirose, K. Okamoto and S. Miyazaki / J. Photopoly. Sci. and Technol., Vol. 7, No. 3(1994)pp. 599-606. / Beam Induced Modification of Polysilane for Fine Pattern Generation
  3. M. Hirose, M. Hiroshima, T. Yasaka and S. Miyazaki / J. Vac. Sci. Technol., Vol. A12, No. 4(1994)pp. 1864-1868. / Characterization of Silicon Surface Microroughness and Tunneling Transport through Ultrathin Gate Oxides
  4. K. Murayama, H. Komatsu, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. Suppl. 34(1994)pp. 176-178. / Phonon Interaction in the Luminescence of Porous Silicon
  5. K. Murayama, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 33, No. 6A(1994)pp. 3310-3313. / Reabsorption of Visible Luminescence in Porous Si
  6. K. Okamoto, M. Shinohara, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 33, No. 4B(1994)pp. 2258-2261. / Fine SiO2 Pattern Generation by Excimer Laser-Induced Modification of Polysiloxene-Based Thin Films
  7. M. Hiroshima, T. Yasaka, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 33, No. 1B(1994)pp. 395-398. / Electron Tunneling Through Ultra-Thin Gate Oxide Formed on Hydrogen-Terminated Si(100) Surfaces
  8. T. Yamasaki, S. Miyazaki, C. H. Bjorkman, M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 318(1994)pp. 419-424. / Infrared Spectra of Ultra-Thin SiO2 Grown on Si Surface
  9. S. Miyazaki, K. Okamoto, Y. Miyoshi, H. Shin and M. Hirose / Optoelectronics-Devices and Technologies, Vol. 9, No. 3(1994)pp. 337-344. / Characterization of Polysilane-Based Films Produced from Silane or Disilane Plasma at Cryogenic Temperatures
  10. H. Deki, S. Miyazaki, M. Ohmura and M. Hirose / Solar Energy Materials and Solar Cells, Vol. 34(1994)pp. 431-437. / Narrow-Bandgap a-Ge:H/a-Si:H Multilayers for Amorphous Silicon-Based Solar Cells
  11. 廣瀬全孝, 宮崎 誠一 / 応用物理, Vol. 63, No. 11(1994)pp. 1118-1122. / 高流動性プラズマCVDによる薄膜形成
  12. 宮崎 誠一 / 電子デバイス活用辞典、 電子デバイス活用辞典編集委員会編 (工業調査会, , 1994). / 半導体および分析技術関連の用語解説
  13.  




1993年度

 
  1. H. Deki, S. Miyazaki, M. Ohmura and M. Hirose / J. Non-Cryst. Solids, Vol. 164-166(1993)pp. 841-844. / Structural and Optical Properties of a-Si:H/a-Ge:H Multilayers
  2. H. Shin, M. Hashimoto, K. Okamoto, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 32, No. 6B(1993)pp. 3081-3084. / High-Fluidity Deposition of Si By Plasma Enhanced CVD of Si2H6 or Si4
  3. K. Shiba, K. Sakamoto, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 32, No. 6A(1993)pp. 2722-2724. / Photoluminescence from Thermally Oxidized Porous Silicon
  4. M. Hirose, T. Yasaka, M. Hiroshima, M. Takakura and S. Miyazaki / Mat. Res. Soc. Symp. Proc., Vol. 315(1993)pp. 367-374. / Structural and Electrical Characterization of Ultra-Thin SiO2 Grown on Hydrogen-Terminated Silicon Surfaces
  5. S. Miyazaki, K. Shiba, K. Sakamoto and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 283(1993)pp. 269-274. / Intense Visible Luminescence from Thermally Oxidized Porous Silicon
  6. S. Miyazaki, K. Sakamoto, K. Shiba and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 283(1993)pp. 299-304. / Metastability of Luminescent Porous Silicon
  7. M. Hirose, M. Hiroshima, T. Yasaka, M. Takakura and S. Miyazaki / Microelec. Eng., Vol. 22, No. 1(1993)pp. 3-10. / Ultra-Thin Gate Oxide Grown on Hydrogen-Terminated Silicon Surfaces
  8. M. Hirose, T. Takakura, T. Yasaka and S. Miyazaki / The Physics and Chemistry of SiO2 and the Si-SiO2 Interface 2: Plenum Press, New York, (1993)pp. 177-186. / Native Oxide Growth and Hydrogen Bonding Features on Chemically Cleaned Silicon Surfaces
  9.  




1992年度

 
  1. T. Yasaka, S. Uenaga, H. Yasutake, M. Takakura, S. Miyazaki and M. Hirose / IEICE Trans. Electron. , Vol. E75-C, No. 7(1992)pp. 764-769. / Native Oxide Growth on Hydrogen-Terminated Silicon Surfaces
  2. H. Shin, K. Okamoto, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 31, No. 6B(1992)pp. 1953-1957. / Effect of Substrate Bias on Silicon Thin Film Growth in Plasma Enhanced CVD at Cryogenic Temperatures
  3. K. Murayama, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 31, No. 9B(1992)pp. L1358-L1361. / Visible Photoluminescence from Porous Silicon
  4. K. Okamoto, H. Shin, K. Shiba, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 31, No. 12B(1992)pp. 4441-4443. / Sub-Half-Micron Silicon Pattern Generation by Electron Beam Direct Writing on Polysilane Films
  5. K. Sawara, T. Yasaka, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 31, No. 7B(1992)pp. L1358-L1361. / Atomic Scale Flatness of Chemically Cleaned Silicon Surfaces Studied by Infrared ATR Spectroscopy
  6. M. Takakura, T. Yasaka, S. Miyazaki and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 259(1992)pp. 113-118. / Chemical Structure of Native Oxide Grown on Hydrogen-Terminated Silicon Surfaces
  7. S. Miyazaki, T. Yasaka, K. Okamoto, K. Shiba, K. Sakamoto and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 256(1992)pp. 185-188. / Structural Characterization of Porous Silicon Fabricated by Electrochemical and Chemical Dissolution of Si Wafers
  8. S. Miyazaki, H. Shin, K. Okamoto and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 242(1992)pp. 681-686. / Wide-Gap Polysilane Produced by Plasma-Enhanced CVD at Cryogenic Temperatures
  9. T. Yasaka, M. Takakura, K. Sawara, S. Uenaga, H. Yasutake, S. Miyazaki and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 259(1992)pp. 385-390. / Cleaning and Oxidation of Heavily Doped Si Surfaces
  10. S. Miyazaki, K. Shiba, K. Sakamoto and M. Hirose / Optoelectronics-Devices and Technologies, Vol. 7, No. 1(1992)pp. 95-102. / Structural Characterization and Luminescence of Porous Si
  11. 宮崎 誠一 / プラズマ材料科学ハンドブック、 日本学術振興会,プラズマ材料科学第153委員会編 (オーム社, , 1992). / 固体表面の解析法-オージェ電子分光、真空紫外/X線光電子分光、電子エネルギー損失分光、ラザフォード後方散乱、走査トンネル顕微鏡
  12. 宮崎 誠一, 廣瀬全孝 / 応用物理, Vol. 61, No. 12(1992). / 水素結合は不要:局在準位を介しての発光の可能性
  13. 宮崎 誠一, 廣瀬全孝 / 固体物理, Vol. 27, No. 11(1992)pp. 803-812. / アモルファスシリコンとその合金-界面
  14. 宮崎 誠一, 柴和利, 坂本邦秀, 廣瀬全孝 / 固体物理, Vol. 27, No. 11(1992)pp. 871-873. / 高温熱酸化したポーラスシリコンからの高効率可視光ルミネッセンス
  15. 廣瀬全孝, 八坂龍広 宮崎 誠一 / 半導体研究「超LSI技術16」第36巻, 西澤潤一編 (工業調査会 , 第9章, 1992)pp. 263-283. / シリコン自然酸化膜の成長機構
  16. 廣瀬全孝, 高倉優, 八坂龍広, 宮崎 誠一 / 表面科学, Vol. 13, No. 6(1992)pp. 324-331. / 水素終端Si表面の自然酸化
  17.  




1991年度

 
  1. H. Shin, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 137-138(1991)pp. 713-716. / A New Deposition Mode in Plasma-Enhanced Cryogenic CVD
  2. K. Murayama, S. Miyazaki and M. Hirose / J. Non-Cryst. Solids, Vol. 137-138(1991)pp. 1123-1126. / Phonon Interaction in the Photoluminescence of a-Si:H/a-Si3N4:H Multilayers
  3. S. Miyazaki, K. Yamada and M. Hirose / J. Non-Cryst. Solids, Vol. 137-138(1991)pp. 1119-1122. / Optical and Electrical Properties of a-Si3N4:H/a-Si:H Superlattices Prepared by Plasma-Enhanced Nitridation Technique
  4. K. Kawabata, Y. Shiratsuki, T. Hayashi, K. Yamada, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 30, No. 7B(1991)pp. L1231-L1234. / Diffusion Barrier Effect of Ultra-Thin Photo-Nitrided a-Si:H on SnO2/Glass Substrate
  5. M. Takakura, T. Kinoshita, T. Uranishi, S. Miyazaki, N. Koyanagi and M. Hirose / Jpn. J. Appl. Phys., Vol. 30, No. 12B(1991)pp. 3627-3629. / BF2+ Ion Implantation into Very-Low-Temperature Si Wafer
  6. S. Miyazaki, Y. Kiriki, Y. Inoue and M. Hirose / Jpn. J. Appl. Phys., Vol. 30, No. 7(1991)pp. 1539-1544. / Radical- and Ion-Induced Reactions on Plasma-Deposited Silicon Surface
  7. T. Hayashi, K. Kawabata, K. Yamada, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 30, No. 4B(1991)pp. L675-L678. / Impurity Diffusion Barrier Effect of Ultra-Thin Plasma Nitrided a-Si:H Overlayer on SnO2/Glass Substrate
  8. T. Yasaka, K. Kanda, K. Sawara, S. Miyazaki and M. Hirose / Jpn. J. Appl. Phys., Vol. 30, No. 12B(1991)pp. 3567-3569. / Chemical Stability of HF-Treated Si(100) Surfaces
  9. T. Yasaka, M. Takakura, S. Miyazaki and M. Hirose / Mat. Res. Soc. Symp. Proc., Vol. 222(1991)pp. 225-230. / Layer-by-Layer Oxidation of Silicon
  10. M. Hirose, T. Yasaka, M. Takakura and S. Miyazaki / Solid State Technol., Vol. Dec.(1991)pp. 43-48. / Initial Oxidation of Chemically Cleaned Silicon Surface
  11. 八坂龍広, 宮崎 誠一, 廣瀬全孝, "シリコンウエハの自然酸化
  12. ", 日本結晶学会誌, Vol. 33(1991)pp. 182-187.